Skip to content

Commit 0ef0589

Browse files
Add files via upload
1 parent a2decd9 commit 0ef0589

9 files changed

+380
-0
lines changed

Code_1 Distribution_Constraint.sv

+48
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,48 @@
1+
//write a constraint for 0-100 range 70% and for 101-255 range 30%.
2+
3+
class sample;
4+
rand bit[7:0]var1;
5+
6+
constraint dist_c{
7+
var1 dist{[0:100] := 7, [101:255] := 3};
8+
}
9+
endclass
10+
module top;
11+
sample s;
12+
initial begin
13+
s=new();
14+
repeat(10)begin
15+
s.randomize();
16+
if(s.var1<100)
17+
$display("varible value is [0:100]=%0d",s.var1);
18+
else
19+
$display("varible value is [101:255]=%0d",s.var1);
20+
end
21+
end
22+
endmodule
23+
24+
//Output:-
25+
/*run -all
26+
# varible value is [0:100]=50
27+
# varible value is [101:255]=219
28+
# varible value is [101:255]=115
29+
# varible value is [0:100]=99
30+
# varible value is [0:100]=2
31+
# varible value is [0:100]=30
32+
# varible value is [0:100]=14
33+
# varible value is [0:100]=4
34+
# varible value is [101:255]=232
35+
# varible value is [101:255]=250
36+
# exit*/
37+
38+
39+
40+
41+
42+
43+
44+
45+
46+
47+
48+
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,40 @@
1+
// Write a Constrint to generate random Vlaue for Var1[7:0] within 50 and var2[7:0] with the non-repeated value in every randomization
2+
class sample;
3+
rand bit[7:0]var1;
4+
rand bit[7:0]var2;
5+
6+
7+
constraint random_c{
8+
var1 inside{[0:50]};
9+
unique{var2};
10+
}
11+
endclass
12+
13+
module top;
14+
sample s;
15+
initial begin
16+
s=new();
17+
repeat(5)begin
18+
s.randomize();
19+
$display("\t\tvarible1 is[0:50]=%0d varible2=%0d",s.var1,s.var2);
20+
end
21+
end
22+
endmodule
23+
24+
//OUTPUT Is
25+
/* varible1 is[0:50]=48 varible2=118
26+
varible1 is[0:50]=33 varible2=10
27+
varible1 is[0:50]=21 varible2=19
28+
varible1 is[0:50]=10 varible2=94
29+
varible1 is[0:50]=40 varible2=26
30+
*/
31+
32+
33+
34+
35+
36+
37+
38+
39+
40+
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,31 @@
1+
//Without inside operator generate random values for the range 34-43
2+
class sample;
3+
rand bit[5:0]value;
4+
5+
constraint range_c{(value>34) && (value<43);
6+
}
7+
endclass
8+
module top;
9+
sample s;
10+
initial begin
11+
s=new();
12+
repeat(10)begin
13+
s.randomize();
14+
$display("varible value is=%0d",s.value);
15+
end
16+
end
17+
endmodule
18+
19+
//OUtput is-
20+
/*run -all
21+
# varible value is=40
22+
# varible value is=41
23+
# varible value is=37
24+
# varible value is=36
25+
# varible value is=40
26+
# varible value is=39
27+
# varible value is=35
28+
# varible value is=39
29+
# varible value is=39
30+
# varible value is=37
31+
# exit*/
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,38 @@
1+
// Generate Unique value without using rand and randc.
2+
class sample;
3+
int data[15];
4+
5+
function new();
6+
foreach(data[i])begin
7+
data[i] =i;
8+
end
9+
endfunction
10+
function void print();
11+
$display("Unique value is: %p",data);
12+
endfunction
13+
function void data_shuffle();
14+
data.shuffle();
15+
endfunction
16+
endclass
17+
module top;
18+
sample s;
19+
initial begin
20+
s=new();
21+
repeat(2)begin
22+
s.data.shuffle();
23+
s.print();
24+
end
25+
end
26+
endmodule
27+
28+
29+
//Output is-
30+
/* Unique value is: '{13, 6, 14, 0, 12, 1, 10, 5, 2, 7, 9, 11, 8, 4, 3}
31+
Unique value is: '{9, 10, 0, 12, 8, 14, 5, 1, 2, 3, 6, 11, 13, 4, 7}*/
32+
33+
34+
35+
36+
37+
38+
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,59 @@
1+
// write a single Constraint to generate random values for bit[8:0] variable in the below range: 1-34,127,129-156,192-202,257-260.
2+
class sample;
3+
rand bit[8:0]var1;
4+
5+
constraint variable_c{
6+
var1 inside{[1:34],127,[129:156],[192:202],[257:260]};
7+
}
8+
9+
function void print();
10+
if(var1>=1 && var1<=34)
11+
$display("Variable range is[1:34]=",var1);
12+
else if(var1>=129 && var1<=156)
13+
$display("Variable range is[129:156]=",var1);
14+
else if(var1>=192 && var1<=202)
15+
$display("Variable range is[192:202]=",var1);
16+
else if(var1>=257 && var1<=260)
17+
$display("Variable range is[257:260]=",var1);
18+
endfunction
19+
endclass
20+
21+
module top;
22+
sample s;
23+
initial begin
24+
s=new();
25+
repeat(20)begin
26+
s.randomize();
27+
s.print();
28+
end
29+
end
30+
endmodule
31+
32+
//OUTPUT is
33+
/* Variable range is[129:156]=131
34+
Variable range is[129:156]=150
35+
Variable range is[129:156]=150
36+
Variable range is[1:34]= 33
37+
Variable range is[1:34]= 10
38+
Variable range is[1:34]= 13
39+
Variable range is[129:156]=149
40+
Variable range is[1:34]= 19
41+
Variable range is[1:34]= 19
42+
Variable range is[1:34]= 29
43+
Variable range is[129:156]=147
44+
Variable range is[257:260]=257
45+
Variable range is[129:156]=155
46+
Variable range is[1:34]= 28
47+
Variable range is[1:34]= 33
48+
Variable range is[1:34]= 6
49+
Variable range is[129:156]=139
50+
Variable range is[129:156]=132
51+
Variable range is[129:156]=136*/
52+
53+
54+
55+
56+
57+
58+
59+
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,45 @@
1+
// Genrate Unique Random Value without using Unique_constraint.
2+
class sample;
3+
bit[3:0]var1[10];
4+
function new();
5+
foreach(var1[i])
6+
var1[i] = i;
7+
endfunction
8+
9+
function void pre_randomize();
10+
var1.shuffle();
11+
endfunction
12+
13+
function void post_randomize();
14+
$display("Unique value is: %0p",var1);
15+
endfunction
16+
endclass
17+
18+
module top;
19+
sample s;
20+
initial begin
21+
s=new();
22+
repeat(2)begin
23+
s.randomize();
24+
end
25+
end
26+
endmodule
27+
28+
29+
//OUTPUT IS
30+
/* Unique value is: 2 3 5 8 9 0 1 7 6 4
31+
Unique value is: 3 6 1 5 0 8 9 2 4 7 */
32+
33+
34+
35+
36+
37+
38+
39+
40+
41+
42+
43+
44+
45+

Code_7 Constraint using $countones.sv

+34
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,34 @@
1+
// Write a constraint using $countones
2+
class sample;
3+
rand bit[3:0]data;
4+
5+
constraint countones_c{
6+
$countones(data);
7+
}
8+
endclass
9+
10+
module top;
11+
sample s;
12+
initial begin
13+
s=new();
14+
$display("\t\t Binary Format \t\tDecimal Format");
15+
repeat(5)begin
16+
s.randomize();
17+
$display("\t\tdata=%0b \t\tdata=%0d",s.data,s.data);
18+
end
19+
end
20+
endmodule
21+
22+
//OUTPUT Is
23+
/* Binary Format Decimal Format
24+
data=1101 data=13
25+
data=1000 data=8
26+
data=1110 data=14
27+
data=111 data=7
28+
data=1010 data=10 */
29+
30+
31+
32+
33+
34+
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,44 @@
1+
// Genrate 32-bit random number with only one bit set (Should not use $countones).
2+
class sample;
3+
rand bit[31:0]num;
4+
rand bit[4:0]shift;
5+
6+
constraint num_c{
7+
num ==1 << shift;
8+
}
9+
endclass
10+
11+
module top;
12+
sample s;
13+
initial begin
14+
s=new();
15+
$display("\t\t One Bit High set \t\tDecimal Format");
16+
repeat(5)begin
17+
s.randomize();
18+
$display("\t\tnumber=%b \t\tnumber=%0d",s.num,s.num);
19+
end
20+
end
21+
endmodule
22+
23+
//OutPut
24+
/* One Bit High set Decimal Format
25+
number=00000000000000000000000000001000 number=8
26+
number=00000000000000000000001000000000 number=512
27+
number=00000100000000000000000000000000 number=67108864
28+
number=00000000000000000000000001000000 number=64
29+
number=00000000000000000100000000000000 number=16384*/
30+
31+
32+
33+
34+
35+
36+
37+
38+
39+
40+
41+
42+
43+
44+

Code_9 Constraint using $onehot.sv

+41
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,41 @@
1+
// Genrate 16-bit varible, only one bit high values need to be accessed.Write Constraint for that.
2+
class sample;
3+
rand bit[15:0]num;
4+
5+
constraint ones_c{
6+
$onehot(num);
7+
}
8+
endclass
9+
10+
module top;
11+
sample s;
12+
initial begin
13+
s=new();
14+
$display("\t\t One Bit High set \t\tDecimal Format");
15+
repeat(5)begin
16+
s.randomize();
17+
$display("\t\tnumber=%b \t\tnumber=%0d",s.num,s.num);
18+
end
19+
end
20+
endmodule
21+
22+
//OUTPUT is
23+
/* One Bit High set Decimal Format
24+
# number=1000000000000000 number=32768
25+
# number=0010000000000000 number=8192
26+
# number=0001000000000000 number=4096
27+
# number=0000000100000000 number=256
28+
# number=0000000000000010 number=2*/
29+
30+
31+
32+
33+
34+
35+
36+
37+
38+
39+
40+
41+

0 commit comments

Comments
 (0)