|
16 | 16 | `include "vortex_afu.vh"
|
17 | 17 |
|
18 | 18 | module VX_afu_wrap #(
|
19 |
| - parameter C_S_AXI_CTRL_ADDR_WIDTH = 8, |
20 |
| - parameter C_S_AXI_CTRL_DATA_WIDTH = 32, |
21 |
| - parameter C_M_AXI_MEM_ID_WIDTH = 32, |
22 |
| - parameter C_M_AXI_MEM_DATA_WIDTH = 512, |
23 |
| - parameter C_M_AXI_MEM_ADDR_WIDTH = 25, |
24 |
| - parameter C_M_AXI_MEM_NUM_BANKS = 2 |
| 19 | + parameter C_S_AXI_CTRL_ADDR_WIDTH = 8, |
| 20 | + parameter C_S_AXI_CTRL_DATA_WIDTH = 32, |
| 21 | + parameter C_M_AXI_MEM_ID_WIDTH = `PLATFORM_MEMORY_ID_WIDTH, |
| 22 | + parameter C_M_AXI_MEM_DATA_WIDTH = `PLATFORM_MEMORY_DATA_SIZE * 8, |
| 23 | + parameter C_M_AXI_MEM_ADDR_WIDTH = 64, |
| 24 | +`ifdef PLATFORM_MERGED_MEMORY_INTERFACE |
| 25 | + parameter C_M_AXI_MEM_NUM_BANKS = 1 |
| 26 | +`else |
| 27 | + parameter C_M_AXI_MEM_NUM_BANKS = `PLATFORM_MEMORY_NUM_BANKS |
| 28 | +`endif |
25 | 29 | ) (
|
26 | 30 | // System signals
|
27 | 31 | input wire clk,
|
@@ -58,7 +62,7 @@ module VX_afu_wrap #(
|
58 | 62 |
|
59 | 63 | output wire interrupt
|
60 | 64 | );
|
61 |
| - localparam M_AXI_MEM_ADDR_WIDTH = `PLATFORM_MEMORY_ADDR_WIDTH - $clog2(C_M_AXI_MEM_NUM_BANKS); |
| 65 | + localparam M_AXI_MEM_ADDR_WIDTH = `PLATFORM_MEMORY_ADDR_WIDTH; |
62 | 66 |
|
63 | 67 | typedef enum logic [1:0] {
|
64 | 68 | STATE_IDLE = 0,
|
@@ -283,9 +287,8 @@ module VX_afu_wrap #(
|
283 | 287 | wire [M_AXI_MEM_ADDR_WIDTH-1:0] m_axi_mem_araddr_u [C_M_AXI_MEM_NUM_BANKS];
|
284 | 288 |
|
285 | 289 | for (genvar i = 0; i < C_M_AXI_MEM_NUM_BANKS; ++i) begin : g_addressing
|
286 |
| - localparam [C_M_AXI_MEM_ADDR_WIDTH-1:0] BANK_OFFSET = C_M_AXI_MEM_ADDR_WIDTH'(`PLATFORM_MEMORY_OFFSET) + C_M_AXI_MEM_ADDR_WIDTH'(i) << M_AXI_MEM_ADDR_WIDTH; |
287 |
| - assign m_axi_mem_awaddr_a[i] = C_M_AXI_MEM_ADDR_WIDTH'(m_axi_mem_awaddr_u[i]) + BANK_OFFSET; |
288 |
| - assign m_axi_mem_araddr_a[i] = C_M_AXI_MEM_ADDR_WIDTH'(m_axi_mem_araddr_u[i]) + BANK_OFFSET; |
| 290 | + assign m_axi_mem_awaddr_a[i] = C_M_AXI_MEM_ADDR_WIDTH'(m_axi_mem_awaddr_u[i]) + C_M_AXI_MEM_ADDR_WIDTH'(`PLATFORM_MEMORY_OFFSET); |
| 291 | + assign m_axi_mem_araddr_a[i] = C_M_AXI_MEM_ADDR_WIDTH'(m_axi_mem_araddr_u[i]) + C_M_AXI_MEM_ADDR_WIDTH'(`PLATFORM_MEMORY_OFFSET); |
289 | 292 | end
|
290 | 293 |
|
291 | 294 | `SCOPE_IO_SWITCH (2);
|
|
0 commit comments