-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathCacheController.vhd
151 lines (115 loc) · 3.4 KB
/
CacheController.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity CacheController is
port (
clk, readmem, writemem : in std_logic;
address: in std_logic_vector (15 downto 0);
data : inout std_logic_vector (15 downto 0);
memdataready : out std_logic
);
end entity;
architecture behavioral of CacheController is
component RAM
generic (blocksize : integer := 1024);
port (clk, readmem, writemem : in std_logic;
addressbus: in std_logic_vector (15 downto 0);
databus : inout std_logic_vector (15 downto 0);
memdataready : out std_logic);
end component;
component Cache
port (clk, wen0 , wen1 : in std_logic;
address: in std_logic_vector (15 downto 0);
wdata : in std_logic_vector (15 downto 0);
data : out std_logic_vector (15 downto 0);
hit0 , hit1 : out std_logic);
end component;
signal readRAM , writeRAM , writeCache0 , writeCache1 : std_logic;
signal outFromCache : std_logic;
signal outFromRAM : std_logic;
signal forwardToRAM : std_logic;
signal forwardToCache : std_logic;
signal RamToCache : std_logic;
signal dataWriteCache : std_logic_vector (15 downto 0);
signal dataRAM : std_logic_vector (15 downto 0);
signal cacheOut : std_logic_vector (15 downto 0);
signal cacheHit0 , cacheHit1 : std_logic;
signal RamDataReady : std_logic;
signal MRU : std_logic := '0' ;
-- FSM
type state_type is (reset, st1, st2);
signal cur_state : state_type := reset;
signal next_state : state_type;
begin
MainMemory : RAM port map (clk,readRAM,writeRAM,address,dataRAM,RamDataReady);
CacheMemory : Cache port map(clk,writeCache0,writeCache1,address,dataWriteCache,cacheOut,cacheHit0,cacheHit1);
data <= cacheOut when outFromCache = '1' else
dataRAM when outFromRAM = '1' else
(others => 'Z');
dataRAM <= data when forwardToRAM = '1' else
(others => 'Z');
dataWriteCache <= data when forwardToCache = '1' else
dataRAM when RamToCache = '1' else
(others => 'Z');
process (clk)
begin
if rising_edge(clk) then
case cur_state is
when reset =>
readRAM <= '0';
writeRAM <= '0';
writeCache0 <= '0';
writeCache1 <= '0';
memdataready <= '0';
outFromCache <= '0';
outFromRAM <= '0';
forwardToRAM <= '0';
forwardToCache <= '0';
RamToCache <= '0';
cur_state <= st1;
-- STATE 1 (MAIN)
when st1 =>
if readmem = '1' then
if (cacheHit0 = '1') or (cacheHit1 = '1') then
outFromCache <= '1';
if(cacheHit0 = '1') then
MRU <= '0';
elsif (cacheHit1 = '1') then
MRU <= '1';
end if;
cur_state <= reset;
else
readRAM <= '1';
cur_state <= st2;
end if;
elsif writemem = '1' then
-- write to RAM
forwardToRAM <= '1';
writeRAM <= '1';
-- write to Cache
forwardToCache <= '1';
if MRU = '0' then
writeCache0 <= '1';
end if;
if MRU = '1' then
writeCache1 <= '1';
end if;
cur_state <= reset;
end if;
-- STATE 2 (MISS)
when st2 =>
outFromRAM <= '1';
memdataready <= '1';
RamToCache <= '1';
-- write to cache based on MRU
if MRU = '0' then
writeCache0 <= '1';
end if;
if MRU = '1' then
writeCache1 <= '1';
end if;
cur_state <= reset;
end case;
end if;
end process;
end architecture;