Skip to content

Commit 7ac28c3

Browse files
authored
Merge pull request #1218 from diffblue/sva-buechi-and-or-tests
Two tests for SVA->Buechi->BDD
2 parents 571ae74 + 3310ced commit 7ac28c3

File tree

4 files changed

+25
-2
lines changed

4 files changed

+25
-2
lines changed
Lines changed: 11 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,11 @@
1+
CORE
2+
../../verilog/SVA/sequence_and2.sv
3+
--buechi --bdd
4+
\[.*\] \(1 and \(##2 1\)\) \|-> main\.x == 2: PROVED$
5+
\[.*\] \(\(##2 1\) and 1\) \|-> main\.x == 2: PROVED$
6+
\[.*\] \(\(##2 1\) and 1\) #-# main\.x == 2: PROVED$
7+
^EXIT=0$
8+
^SIGNAL=0$
9+
--
10+
^warning: ignoring
11+
--
Lines changed: 12 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,12 @@
1+
CORE
2+
../../verilog/SVA/sequence_or1.sv
3+
--buechi --bdd
4+
^\[main\.p0\] main\.x == 0 or main\.x == 1: PROVED$
5+
^\[main\.p1\] strong\(main\.x == 0 or main\.x == 1\): PROVED$
6+
^\[main\.p2\] main\.x == 0 or \(nexttime main\.x == 1\): PROVED$
7+
^\[main\.p3\] \(nexttime main\.x == 1\) or main\.x == 1: PROVED$
8+
^\[main\.p4\] \(main\.x == 0 or main\.x != 10\) |=> main\.x == 1: PROVED$
9+
^EXIT=0$
10+
^SIGNAL=0$
11+
--
12+
--

regression/verilog/SVA/sequence_and2.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,6 @@
11
module main(input clk);
22

3-
reg [31:0] x = 0;
3+
reg [7:0] x = 0;
44

55
always @(posedge clk)
66
x<=x+1;

regression/verilog/SVA/sequence_or1.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,6 @@
11
module main(input clk);
22

3-
reg [31:0] x = 0;
3+
reg [7:0] x = 0;
44

55
always @(posedge clk)
66
x<=x+1;

0 commit comments

Comments
 (0)