diff --git a/tests/after/test_rule_001.py b/tests/after/test_rule_001.py index 580c7c0ef..05b61b873 100644 --- a/tests/after/test_rule_001.py +++ b/tests/after/test_rule_001.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_after_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/after/test_rule_002.py b/tests/after/test_rule_002.py index e21bea6dd..f230ae774 100644 --- a/tests/after/test_rule_002.py +++ b/tests/after/test_rule_002.py @@ -44,7 +44,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_no_no_no.vhd"), lExpected_no_no_no) -class test_after_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/after/test_rule_003.py b/tests/after/test_rule_003.py index 857f201a6..5f2c965dc 100644 --- a/tests/after/test_rule_003.py +++ b/tests/after/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_after_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/after/test_rule_500.py b/tests/after/test_rule_500.py index 42ba418c6..5ce939905 100644 --- a/tests/after/test_rule_500.py +++ b/tests/after/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_after_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_001.py b/tests/alias_declaration/test_rule_001.py index 159936009..4490737d7 100644 --- a/tests/alias_declaration/test_rule_001.py +++ b/tests/alias_declaration/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_100.py b/tests/alias_declaration/test_rule_100.py index 1fc014ccb..57094ef09 100644 --- a/tests/alias_declaration/test_rule_100.py +++ b/tests/alias_declaration/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_101.py b/tests/alias_declaration/test_rule_101.py index 432c14933..e141295e8 100644 --- a/tests/alias_declaration/test_rule_101.py +++ b/tests/alias_declaration/test_rule_101.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_102.py b/tests/alias_declaration/test_rule_102.py index a531824ee..c56584adc 100644 --- a/tests/alias_declaration/test_rule_102.py +++ b/tests/alias_declaration/test_rule_102.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_102_test_input.fixed.vhd"), lExpected) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_103.py b/tests/alias_declaration/test_rule_103.py index fa7f65943..bb1244935 100644 --- a/tests/alias_declaration/test_rule_103.py +++ b/tests/alias_declaration/test_rule_103.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_103_test_input.fixed.vhd"), lExpected) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_300.py b/tests/alias_declaration/test_rule_300.py index 37311d0e4..778184790 100644 --- a/tests/alias_declaration/test_rule_300.py +++ b/tests/alias_declaration/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_500.py b/tests/alias_declaration/test_rule_500.py index 8f379dec2..9ff16f327 100644 --- a/tests/alias_declaration/test_rule_500.py +++ b/tests/alias_declaration/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_501.py b/tests/alias_declaration/test_rule_501.py index 5221c7559..ac8fb8a09 100644 --- a/tests/alias_declaration/test_rule_501.py +++ b/tests/alias_declaration/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_502.py b/tests/alias_declaration/test_rule_502.py index a2820d561..2c3418df2 100644 --- a/tests/alias_declaration/test_rule_502.py +++ b/tests/alias_declaration/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_600.py b/tests/alias_declaration/test_rule_600.py index 416558c9e..8cb01970d 100644 --- a/tests/alias_declaration/test_rule_600.py +++ b/tests/alias_declaration/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/alias_declaration/test_rule_601.py b/tests/alias_declaration/test_rule_601.py index 79a0ed250..2e4590b08 100644 --- a/tests/alias_declaration/test_rule_601.py +++ b/tests/alias_declaration/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_alias_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_001.py b/tests/architecture/test_rule_001.py index b0c432b01..266b528a8 100644 --- a/tests/architecture/test_rule_001.py +++ b/tests/architecture/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_003.py b/tests/architecture/test_rule_003.py index 72f031ab6..10aed00f6 100644 --- a/tests/architecture/test_rule_003.py +++ b/tests/architecture/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_004.py b/tests/architecture/test_rule_004.py index 1cafb76eb..346d25990 100644 --- a/tests/architecture/test_rule_004.py +++ b/tests/architecture/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_005.py b/tests/architecture/test_rule_005.py index bdd9d1b4f..6538b2acc 100644 --- a/tests/architecture/test_rule_005.py +++ b/tests/architecture/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_006.py b/tests/architecture/test_rule_006.py index 2d7de3a73..cb8a08ab4 100644 --- a/tests/architecture/test_rule_006.py +++ b/tests/architecture/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_007.py b/tests/architecture/test_rule_007.py index 4299e51c0..c763863cb 100644 --- a/tests/architecture/test_rule_007.py +++ b/tests/architecture/test_rule_007.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_008.py b/tests/architecture/test_rule_008.py index cc187fea8..94cf7ea1e 100644 --- a/tests/architecture/test_rule_008.py +++ b/tests/architecture/test_rule_008.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_009.py b/tests/architecture/test_rule_009.py index d0214f0b6..92c534c44 100644 --- a/tests/architecture/test_rule_009.py +++ b/tests/architecture/test_rule_009.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_010.py b/tests/architecture/test_rule_010.py index bb5dd5a02..d8356de00 100644 --- a/tests/architecture/test_rule_010.py +++ b/tests/architecture/test_rule_010.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed_remove.vhd"), lExpected_remove) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_011.py b/tests/architecture/test_rule_011.py index 0f94f58d4..6c5e0f1a0 100644 --- a/tests/architecture/test_rule_011.py +++ b/tests/architecture/test_rule_011.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_012.py b/tests/architecture/test_rule_012.py index af2a88b8e..771ab930f 100644 --- a/tests/architecture/test_rule_012.py +++ b/tests/architecture/test_rule_012.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_013.py b/tests/architecture/test_rule_013.py index 4a9171824..8c3932ec8 100644 --- a/tests/architecture/test_rule_013.py +++ b/tests/architecture/test_rule_013.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_014.py b/tests/architecture/test_rule_014.py index 891387011..a01a89563 100644 --- a/tests/architecture/test_rule_014.py +++ b/tests/architecture/test_rule_014.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_015.py b/tests/architecture/test_rule_015.py index fce8ab7f3..ed67570ee 100644 --- a/tests/architecture/test_rule_015.py +++ b/tests/architecture/test_rule_015.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed_no_blank.vhd"), lExpected_no_blank) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_016.py b/tests/architecture/test_rule_016.py index a4a2db51c..995186fca 100644 --- a/tests/architecture/test_rule_016.py +++ b/tests/architecture/test_rule_016.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed_no_blank.vhd"), lExpected_no_blank) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_017.py b/tests/architecture/test_rule_017.py index 194be7872..58a1d2d2b 100644 --- a/tests/architecture/test_rule_017.py +++ b/tests/architecture/test_rule_017.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_017_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_018.py b/tests/architecture/test_rule_018.py index c7aa8d622..56d0a4847 100644 --- a/tests/architecture/test_rule_018.py +++ b/tests/architecture/test_rule_018.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_018_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_019.py b/tests/architecture/test_rule_019.py index 4933dec21..80d157ec7 100644 --- a/tests/architecture/test_rule_019.py +++ b/tests/architecture/test_rule_019.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_020.py b/tests/architecture/test_rule_020.py index 1e02e6026..54f76c479 100644 --- a/tests/architecture/test_rule_020.py +++ b/tests/architecture/test_rule_020.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_020_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_021.py b/tests/architecture/test_rule_021.py index 72725f901..28126e904 100644 --- a/tests/architecture/test_rule_021.py +++ b/tests/architecture/test_rule_021.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_022.py b/tests/architecture/test_rule_022.py index 2a51b6f11..8b26e2aee 100644 --- a/tests/architecture/test_rule_022.py +++ b/tests/architecture/test_rule_022.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_022_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_024.py b/tests/architecture/test_rule_024.py index 73c33c32b..348ba92ac 100644 --- a/tests/architecture/test_rule_024.py +++ b/tests/architecture/test_rule_024.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_024_test_input.fixed_remove.vhd"), lExpected_remove) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_025.py b/tests/architecture/test_rule_025.py index b1b4ae72f..b5e1e0f4c 100644 --- a/tests/architecture/test_rule_025.py +++ b/tests/architecture/test_rule_025.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_025_test_input.vhd")) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_027.py b/tests/architecture/test_rule_027.py index 85ab24754..501db5c24 100644 --- a/tests/architecture/test_rule_027.py +++ b/tests/architecture/test_rule_027.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_027_test_input.vhd")) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_028.py b/tests/architecture/test_rule_028.py index c25a95485..b601ed865 100644 --- a/tests/architecture/test_rule_028.py +++ b/tests/architecture/test_rule_028.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_028_test_input.fixed_upper.vhd"), lExpected_upper) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_029.py b/tests/architecture/test_rule_029.py index 98767f4b7..d18a61560 100644 --- a/tests/architecture/test_rule_029.py +++ b/tests/architecture/test_rule_029.py @@ -16,7 +16,7 @@ # utils.read_file(os.path.join(sTestDir, 'rule_029_test_input.fixed_allowing_comments_and_blank_lines.vhd'), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_030.py b/tests/architecture/test_rule_030.py index 7c4ed2b9b..166dc9aba 100644 --- a/tests/architecture/test_rule_030.py +++ b/tests/architecture/test_rule_030.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_030_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_031.py b/tests/architecture/test_rule_031.py index 57f81a140..56291cc30 100644 --- a/tests/architecture/test_rule_031.py +++ b/tests/architecture/test_rule_031.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_031_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_032.py b/tests/architecture/test_rule_032.py index a49188a03..2cccc771b 100644 --- a/tests/architecture/test_rule_032.py +++ b/tests/architecture/test_rule_032.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_032_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_033.py b/tests/architecture/test_rule_033.py index a6f881b34..58129dcfa 100644 --- a/tests/architecture/test_rule_033.py +++ b/tests/architecture/test_rule_033.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_033_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_200.py b/tests/architecture/test_rule_200.py index 433c9ee3d..f11f54703 100644 --- a/tests/architecture/test_rule_200.py +++ b/tests/architecture/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_400.py b/tests/architecture/test_rule_400.py index 88c29f979..66d48ed3d 100644 --- a/tests/architecture/test_rule_400.py +++ b/tests/architecture/test_rule_400.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/architecture/test_rule_601.py b/tests/architecture/test_rule_601.py index 78f0781c2..e3a26a0ea 100644 --- a/tests/architecture/test_rule_601.py +++ b/tests/architecture/test_rule_601.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_601_test_input.fixed.vhd"), lExpected) -class test_architecture_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/array_constraint/test_rule_500.py b/tests/array_constraint/test_rule_500.py index b454fb9ef..e01ff82f7 100644 --- a/tests/array_constraint/test_rule_500.py +++ b/tests/array_constraint/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_002.py b/tests/assert_statement/test_rule_002.py index 4f914c6fc..806e0be3b 100644 --- a/tests/assert_statement/test_rule_002.py +++ b/tests/assert_statement/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_003.py b/tests/assert_statement/test_rule_003.py index a52968653..5d44ab7a6 100644 --- a/tests/assert_statement/test_rule_003.py +++ b/tests/assert_statement/test_rule_003.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_004.py b/tests/assert_statement/test_rule_004.py index c6f73f500..61350873f 100644 --- a/tests/assert_statement/test_rule_004.py +++ b/tests/assert_statement/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_005.py b/tests/assert_statement/test_rule_005.py index 66fb5991d..3f383d10b 100644 --- a/tests/assert_statement/test_rule_005.py +++ b/tests/assert_statement/test_rule_005.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_100.py b/tests/assert_statement/test_rule_100.py index 40a9392c9..556b4f1b7 100644 --- a/tests/assert_statement/test_rule_100.py +++ b/tests/assert_statement/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_101.py b/tests/assert_statement/test_rule_101.py index 413d453a3..ca8e39c39 100644 --- a/tests/assert_statement/test_rule_101.py +++ b/tests/assert_statement/test_rule_101.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_102.py b/tests/assert_statement/test_rule_102.py index 4c1ee8a55..68ff7b78d 100644 --- a/tests/assert_statement/test_rule_102.py +++ b/tests/assert_statement/test_rule_102.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_102_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_400.py b/tests/assert_statement/test_rule_400.py index ea194972e..b79d6775b 100644 --- a/tests/assert_statement/test_rule_400.py +++ b/tests/assert_statement/test_rule_400.py @@ -32,7 +32,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed_left_aligned__smart_tabs.vhd"), lExpected_left_aligned_smart_tabs) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_500.py b/tests/assert_statement/test_rule_500.py index c7b68fbd3..6074e5c06 100644 --- a/tests/assert_statement/test_rule_500.py +++ b/tests/assert_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_501.py b/tests/assert_statement/test_rule_501.py index 924a119b4..f2de5d4a4 100644 --- a/tests/assert_statement/test_rule_501.py +++ b/tests/assert_statement/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/assert_statement/test_rule_502.py b/tests/assert_statement/test_rule_502.py index 469d9edf1..ca8cfbaa6 100644 --- a/tests/assert_statement/test_rule_502.py +++ b/tests/assert_statement/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/attribute/test_rule_500.py b/tests/attribute/test_rule_500.py index b6a39acda..3308a13d6 100644 --- a/tests/attribute/test_rule_500.py +++ b/tests/attribute/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_attribute_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/attribute_declaration/test_rule_500.py b/tests/attribute_declaration/test_rule_500.py index 05d5f06b6..77267b421 100644 --- a/tests/attribute_declaration/test_rule_500.py +++ b/tests/attribute_declaration/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_attribute_declaration_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/attribute_declaration/test_rule_501.py b/tests/attribute_declaration/test_rule_501.py index b52e66b58..ec1babd0f 100644 --- a/tests/attribute_declaration/test_rule_501.py +++ b/tests/attribute_declaration/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_attribute_declaration_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/attribute_declaration/test_rule_502.py b/tests/attribute_declaration/test_rule_502.py index 3449c5bbe..2433b579e 100644 --- a/tests/attribute_declaration/test_rule_502.py +++ b/tests/attribute_declaration/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_attribute_declaration_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/attribute_specification/test_rule_500.py b/tests/attribute_specification/test_rule_500.py index 6b0367a5f..0d4626654 100644 --- a/tests/attribute_specification/test_rule_500.py +++ b/tests/attribute_specification/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_attribute_specification_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/attribute_specification/test_rule_501.py b/tests/attribute_specification/test_rule_501.py index bda4f92af..5d2d5d53f 100644 --- a/tests/attribute_specification/test_rule_501.py +++ b/tests/attribute_specification/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_attribute_specification_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/attribute_specification/test_rule_502.py b/tests/attribute_specification/test_rule_502.py index fe48b1b2e..02707c53f 100644 --- a/tests/attribute_specification/test_rule_502.py +++ b/tests/attribute_specification/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_attribute_specification_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/attribute_specification/test_rule_503.py b/tests/attribute_specification/test_rule_503.py index 489a0d23c..59a8a3fa1 100644 --- a/tests/attribute_specification/test_rule_503.py +++ b/tests/attribute_specification/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_attribute_specification_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/bit_string_literal/test_rule_500.py b/tests/bit_string_literal/test_rule_500.py index 628eec5a6..49430efcd 100644 --- a/tests/bit_string_literal/test_rule_500.py +++ b/tests/bit_string_literal/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_bit_string_literal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/bit_string_literal/test_rule_501.py b/tests/bit_string_literal/test_rule_501.py index 662da8417..beb9ced47 100644 --- a/tests/bit_string_literal/test_rule_501.py +++ b/tests/bit_string_literal/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_bit_string_literal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_001.py b/tests/block/test_rule_001.py index 7b3581a5b..a61d13648 100644 --- a/tests/block/test_rule_001.py +++ b/tests/block/test_rule_001.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_002.py b/tests/block/test_rule_002.py index 620dd3bcd..f60e4d66e 100644 --- a/tests/block/test_rule_002.py +++ b/tests/block/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_remove.vhd"), lExpected_remove) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_003.py b/tests/block/test_rule_003.py index 2bb8da449..94a402ae0 100644 --- a/tests/block/test_rule_003.py +++ b/tests/block/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_004.py b/tests/block/test_rule_004.py index ab94fa58b..e0e08b889 100644 --- a/tests/block/test_rule_004.py +++ b/tests/block/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_005.py b/tests/block/test_rule_005.py index b064a71f3..b5d8ef38d 100644 --- a/tests/block/test_rule_005.py +++ b/tests/block/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_006.py b/tests/block/test_rule_006.py index d859fc3d2..2816faf3f 100644 --- a/tests/block/test_rule_006.py +++ b/tests/block/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_007.py b/tests/block/test_rule_007.py index 4ba1fb09a..6841d06b3 100644 --- a/tests/block/test_rule_007.py +++ b/tests/block/test_rule_007.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed_remove.vhd"), lExpected_remove) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_100.py b/tests/block/test_rule_100.py index 92466dc31..68b1bddb3 100644 --- a/tests/block/test_rule_100.py +++ b/tests/block/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_101.py b/tests/block/test_rule_101.py index e3a50a79d..035ab1247 100644 --- a/tests/block/test_rule_101.py +++ b/tests/block/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_200.py b/tests/block/test_rule_200.py index 31b1cd6dc..e526342f8 100644 --- a/tests/block/test_rule_200.py +++ b/tests/block/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_201.py b/tests/block/test_rule_201.py index 40c0a6532..072a08f4a 100644 --- a/tests/block/test_rule_201.py +++ b/tests/block/test_rule_201.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed_no_blank_line.vhd"), lExpected_no_blank_line, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_202.py b/tests/block/test_rule_202.py index 07a2b2392..1db08f99a 100644 --- a/tests/block/test_rule_202.py +++ b/tests/block/test_rule_202.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_202_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_203.py b/tests/block/test_rule_203.py index 944121a92..4c2947cca 100644 --- a/tests/block/test_rule_203.py +++ b/tests/block/test_rule_203.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_203_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_204.py b/tests/block/test_rule_204.py index 74463b0e1..a0cecadcb 100644 --- a/tests/block/test_rule_204.py +++ b/tests/block/test_rule_204.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_204_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_205.py b/tests/block/test_rule_205.py index bb2ac2436..697d54c77 100644 --- a/tests/block/test_rule_205.py +++ b/tests/block/test_rule_205.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_205_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_300.py b/tests/block/test_rule_300.py index 4fe67e2b1..80fbf5f34 100644 --- a/tests/block/test_rule_300.py +++ b/tests/block/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_301.py b/tests/block/test_rule_301.py index 2f09be79b..e9aeb37ae 100644 --- a/tests/block/test_rule_301.py +++ b/tests/block/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_302.py b/tests/block/test_rule_302.py index 10f436f0f..2b2850862 100644 --- a/tests/block/test_rule_302.py +++ b/tests/block/test_rule_302.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_302_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_400.py b/tests/block/test_rule_400.py index 429bd0e52..9409a0045 100644 --- a/tests/block/test_rule_400.py +++ b/tests/block/test_rule_400.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_401.py b/tests/block/test_rule_401.py index ff08ff917..767ec35a8 100644 --- a/tests/block/test_rule_401.py +++ b/tests/block/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_402.py b/tests/block/test_rule_402.py index 0608f4442..f20f5f34d 100644 --- a/tests/block/test_rule_402.py +++ b/tests/block/test_rule_402.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_402_test_input.fixed.vhd"), lExpected, False) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_500.py b/tests/block/test_rule_500.py index 4bd23fa23..a12e33d0a 100644 --- a/tests/block/test_rule_500.py +++ b/tests/block/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_block_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_501.py b/tests/block/test_rule_501.py index a5522a581..e09e3dfa5 100644 --- a/tests/block/test_rule_501.py +++ b/tests/block/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_block_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_502.py b/tests/block/test_rule_502.py index 3ee9d2cd1..fafc9dc71 100644 --- a/tests/block/test_rule_502.py +++ b/tests/block/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_block_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_503.py b/tests/block/test_rule_503.py index a1b3698d6..20ea393eb 100644 --- a/tests/block/test_rule_503.py +++ b/tests/block/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_block_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_504.py b/tests/block/test_rule_504.py index 3df2bc870..aa02452d9 100644 --- a/tests/block/test_rule_504.py +++ b/tests/block/test_rule_504.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_504_test_input.fixed_upper.vhd"), lExpected_upper) -class test_block_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_505.py b/tests/block/test_rule_505.py index f510e4462..45d87a73e 100644 --- a/tests/block/test_rule_505.py +++ b/tests/block/test_rule_505.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_505_test_input.fixed_upper.vhd"), lExpected_upper) -class test_block_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_506.py b/tests/block/test_rule_506.py index 56fbac443..73089a2ec 100644 --- a/tests/block/test_rule_506.py +++ b/tests/block/test_rule_506.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_506_test_input.fixed_upper.vhd"), lExpected_upper) -class test_block_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_600.py b/tests/block/test_rule_600.py index cbf666995..71a180664 100644 --- a/tests/block/test_rule_600.py +++ b/tests/block/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block/test_rule_601.py b/tests/block/test_rule_601.py index 37f9e94e7..07c51614b 100644 --- a/tests/block/test_rule_601.py +++ b/tests/block/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_block_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block_comment/test_rule_001.py b/tests/block_comment/test_rule_001.py index 3bf98050e..1246e18ad 100644 --- a/tests/block_comment/test_rule_001.py +++ b/tests/block_comment/test_rule_001.py @@ -16,7 +16,7 @@ lFile_align_left, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_001_test_input.align_left.vhd")) -class test_block_comment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block_comment/test_rule_002.py b/tests/block_comment/test_rule_002.py index 5a128c042..d133452b6 100644 --- a/tests/block_comment/test_rule_002.py +++ b/tests/block_comment/test_rule_002.py @@ -14,7 +14,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_002_test_input.vhd")) -class test_block_comment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/block_comment/test_rule_003.py b/tests/block_comment/test_rule_003.py index 6eb9fafca..81de75ddf 100644 --- a/tests/block_comment/test_rule_003.py +++ b/tests/block_comment/test_rule_003.py @@ -14,7 +14,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_003_test_input.vhd")) -class test_block_comment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_001.py b/tests/case/test_rule_001.py index 1443cbdec..647423428 100644 --- a/tests/case/test_rule_001.py +++ b/tests/case/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_002.py b/tests/case/test_rule_002.py index 24b2ae705..3ed6a924d 100644 --- a/tests/case/test_rule_002.py +++ b/tests/case/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_003.py b/tests/case/test_rule_003.py index e94636c72..d09368da3 100644 --- a/tests/case/test_rule_003.py +++ b/tests/case/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_004.py b/tests/case/test_rule_004.py index f5bbf8b60..6e27159cf 100644 --- a/tests/case/test_rule_004.py +++ b/tests/case/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_005.py b/tests/case/test_rule_005.py index 3f9881f41..1fb3b4e6d 100644 --- a/tests/case/test_rule_005.py +++ b/tests/case/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_006.py b/tests/case/test_rule_006.py index f499eca95..79266e16e 100644 --- a/tests/case/test_rule_006.py +++ b/tests/case/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_007.py b/tests/case/test_rule_007.py index 3d4b2f380..6475f797b 100644 --- a/tests/case/test_rule_007.py +++ b/tests/case/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_009.py b/tests/case/test_rule_009.py index b7f14ab57..7858467d1 100644 --- a/tests/case/test_rule_009.py +++ b/tests/case/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_010.py b/tests/case/test_rule_010.py index 839041147..3b30bffc5 100644 --- a/tests/case/test_rule_010.py +++ b/tests/case/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_011.py b/tests/case/test_rule_011.py index 2f6f84095..4b368220d 100644 --- a/tests/case/test_rule_011.py +++ b/tests/case/test_rule_011.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_012.py b/tests/case/test_rule_012.py index 9c76b9a1e..8e40b9028 100644 --- a/tests/case/test_rule_012.py +++ b/tests/case/test_rule_012.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_014.py b/tests/case/test_rule_014.py index 2384a9da8..de0aa7a8e 100644 --- a/tests/case/test_rule_014.py +++ b/tests/case/test_rule_014.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed_upper.vhd"), lExpected_upper) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_015.py b/tests/case/test_rule_015.py index 1f95e40cf..fc671162e 100644 --- a/tests/case/test_rule_015.py +++ b/tests/case/test_rule_015.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed_upper.vhd"), lExpected_upper) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_016.py b/tests/case/test_rule_016.py index bd946592b..1e8dc267e 100644 --- a/tests/case/test_rule_016.py +++ b/tests/case/test_rule_016.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed_upper.vhd"), lExpected_upper) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_017.py b/tests/case/test_rule_017.py index 695627932..8c84b5526 100644 --- a/tests/case/test_rule_017.py +++ b/tests/case/test_rule_017.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_017_test_input.fixed_upper.vhd"), lExpected_upper) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_018.py b/tests/case/test_rule_018.py index 99b3a6b31..f8876d042 100644 --- a/tests/case/test_rule_018.py +++ b/tests/case/test_rule_018.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_018_test_input.fixed_upper.vhd"), lExpected_upper) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_019.py b/tests/case/test_rule_019.py index d20b0ae7f..158f4fe9b 100644 --- a/tests/case/test_rule_019.py +++ b/tests/case/test_rule_019.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_020.py b/tests/case/test_rule_020.py index 191b266a4..89b3d89f5 100644 --- a/tests/case/test_rule_020.py +++ b/tests/case/test_rule_020.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_020_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_200.py b/tests/case/test_rule_200.py index 60ae56f79..6b13cefb2 100644 --- a/tests/case/test_rule_200.py +++ b/tests/case/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_201.py b/tests/case/test_rule_201.py index 822b7a804..e4790e93e 100644 --- a/tests/case/test_rule_201.py +++ b/tests/case/test_rule_201.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case/test_rule_300.py b/tests/case/test_rule_300.py index db4881804..0fe6d4ba1 100644 --- a/tests/case/test_rule_300.py +++ b/tests/case/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_case_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case_generate_alternative/test_rule_500.py b/tests/case_generate_alternative/test_rule_500.py index 0c6b400e3..9bd86f522 100644 --- a/tests/case_generate_alternative/test_rule_500.py +++ b/tests/case_generate_alternative/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_case_generate_alternative_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case_generate_statement/test_rule_400.py b/tests/case_generate_statement/test_rule_400.py index d894bf824..c839974f2 100644 --- a/tests/case_generate_statement/test_rule_400.py +++ b/tests/case_generate_statement/test_rule_400.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed_compact_alignment__true.vhd"), lExpected_true) -class test_case_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case_generate_statement/test_rule_500.py b/tests/case_generate_statement/test_rule_500.py index 7eebd9142..468e392c8 100644 --- a/tests/case_generate_statement/test_rule_500.py +++ b/tests/case_generate_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_case_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/case_generate_statement/test_rule_501.py b/tests/case_generate_statement/test_rule_501.py index 6d2568360..8e4eab9ea 100644 --- a/tests/case_generate_statement/test_rule_501.py +++ b/tests/case_generate_statement/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_case_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/choice/test_rule_500.py b/tests/choice/test_rule_500.py index e0ed51acd..2abe42676 100644 --- a/tests/choice/test_rule_500.py +++ b/tests/choice/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_choice_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/comment/test_rule_004.py b/tests/comment/test_rule_004.py index 25ed9c3a2..34ad2b08e 100644 --- a/tests/comment/test_rule_004.py +++ b/tests/comment/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_comment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/comment/test_rule_010.py b/tests/comment/test_rule_010.py index ddc177b94..7c3a747c6 100644 --- a/tests/comment/test_rule_010.py +++ b/tests/comment/test_rule_010.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_comment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/comment/test_rule_011.py b/tests/comment/test_rule_011.py index 68253363e..020e301c1 100644 --- a/tests/comment/test_rule_011.py +++ b/tests/comment/test_rule_011.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected) -class test_comment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/comment/test_rule_012.py b/tests/comment/test_rule_012.py index 6fe63fc29..0b0ed5886 100644 --- a/tests/comment/test_rule_012.py +++ b/tests/comment/test_rule_012.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_012_test_input.vhd")) -class test_comment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/comment/test_rule_100.py b/tests/comment/test_rule_100.py index e430a4ec3..c45173b21 100644 --- a/tests/comment/test_rule_100.py +++ b/tests/comment/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_comment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.oFile.lAllObjects[-2].is_block_comment = True diff --git a/tests/component/test_rule_001.py b/tests/component/test_rule_001.py index e20dab218..9398540d8 100644 --- a/tests/component/test_rule_001.py +++ b/tests/component/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_002.py b/tests/component/test_rule_002.py index 0fdd37e9b..97764abfa 100644 --- a/tests/component/test_rule_002.py +++ b/tests/component/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_003.py b/tests/component/test_rule_003.py index b09e0336a..32bb3f9df 100644 --- a/tests/component/test_rule_003.py +++ b/tests/component/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_004.py b/tests/component/test_rule_004.py index 11455eff9..92615cca3 100644 --- a/tests/component/test_rule_004.py +++ b/tests/component/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_005.py b/tests/component/test_rule_005.py index d17def1c1..e4221f075 100644 --- a/tests/component/test_rule_005.py +++ b/tests/component/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected, bStrip=False) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_006.py b/tests/component/test_rule_006.py index 0cb6a372e..b98d78ca8 100644 --- a/tests/component/test_rule_006.py +++ b/tests/component/test_rule_006.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed_upper.vhd"), lExpected_upper) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_007.py b/tests/component/test_rule_007.py index 53f7d2054..791a532d0 100644 --- a/tests/component/test_rule_007.py +++ b/tests/component/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_008.py b/tests/component/test_rule_008.py index ce9dee01a..56016fa7c 100644 --- a/tests/component/test_rule_008.py +++ b/tests/component/test_rule_008.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed_upper.vhd"), lExpected_upper) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_009.py b/tests/component/test_rule_009.py index 25145653b..b4c94607f 100644 --- a/tests/component/test_rule_009.py +++ b/tests/component/test_rule_009.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_010.py b/tests/component/test_rule_010.py index db45217b4..b56a26d6c 100644 --- a/tests/component/test_rule_010.py +++ b/tests/component/test_rule_010.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed_upper.vhd"), lExpected_upper) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_011.py b/tests/component/test_rule_011.py index 98ef8265d..70525d4cc 100644 --- a/tests/component/test_rule_011.py +++ b/tests/component/test_rule_011.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_012.py b/tests/component/test_rule_012.py index 5d0809bdd..c41490cf1 100644 --- a/tests/component/test_rule_012.py +++ b/tests/component/test_rule_012.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed_upper.vhd"), lExpected_upper) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_013.py b/tests/component/test_rule_013.py index 91062edb6..766c3d710 100644 --- a/tests/component/test_rule_013.py +++ b/tests/component/test_rule_013.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_014.py b/tests/component/test_rule_014.py index c4ccd859d..0ee3df287 100644 --- a/tests/component/test_rule_014.py +++ b/tests/component/test_rule_014.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed_upper.vhd"), lExpected_upper) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_016.py b/tests/component/test_rule_016.py index 59d3c7a2d..26b08266c 100644 --- a/tests/component/test_rule_016.py +++ b/tests/component/test_rule_016.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_017.py b/tests/component/test_rule_017.py index a4a1a3a5f..8d692c592 100644 --- a/tests/component/test_rule_017.py +++ b/tests/component/test_rule_017.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_017_test_input.vhd")) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_019.py b/tests/component/test_rule_019.py index fac0aa619..be1293a47 100644 --- a/tests/component/test_rule_019.py +++ b/tests/component/test_rule_019.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed.vhd"), lExpected) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_020.py b/tests/component/test_rule_020.py index e730733a8..df729b7cc 100644 --- a/tests/component/test_rule_020.py +++ b/tests/component/test_rule_020.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_020_test_input.vhd")) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/component/test_rule_021.py b/tests/component/test_rule_021.py index d6d888f71..42c468867 100644 --- a/tests/component/test_rule_021.py +++ b/tests/component/test_rule_021.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed_remove.vhd"), lExpected_remove) -class test_component_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_001.py b/tests/concurrent/test_rule_001.py index ac02dd7a6..ee7cb6ca6 100644 --- a/tests/concurrent/test_rule_001.py +++ b/tests/concurrent/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_002.py b/tests/concurrent/test_rule_002.py index 9a7512f93..d2d5b662f 100644 --- a/tests/concurrent/test_rule_002.py +++ b/tests/concurrent/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_004.py b/tests/concurrent/test_rule_004.py index 33f618d8c..cfcc00b0f 100644 --- a/tests/concurrent/test_rule_004.py +++ b/tests/concurrent/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_005.py b/tests/concurrent/test_rule_005.py index 5d58023cb..ace3b2121 100644 --- a/tests/concurrent/test_rule_005.py +++ b/tests/concurrent/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_006.py b/tests/concurrent/test_rule_006.py index 3dd9e1a0e..987764040 100644 --- a/tests/concurrent/test_rule_006.py +++ b/tests/concurrent/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_006_smart_tabs.py b/tests/concurrent/test_rule_006_smart_tabs.py index ee1780be0..9d320aaab 100644 --- a/tests/concurrent/test_rule_006_smart_tabs.py +++ b/tests/concurrent/test_rule_006_smart_tabs.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input_smart_tabs.fixed_indent_4.vhd"), lExpected_indent_4) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_008.py b/tests/concurrent/test_rule_008.py index f8d738501..4c9796d73 100644 --- a/tests/concurrent/test_rule_008.py +++ b/tests/concurrent/test_rule_008.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_008_test_input.vhd")) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_009.py b/tests/concurrent/test_rule_009.py index 8edf04811..7af18c3ae 100644 --- a/tests/concurrent/test_rule_009.py +++ b/tests/concurrent/test_rule_009.py @@ -256,7 +256,7 @@ ) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_009_boolean.py b/tests/concurrent/test_rule_009_boolean.py index fdd1e5f6d..ec5777104 100644 --- a/tests/concurrent/test_rule_009_boolean.py +++ b/tests/concurrent/test_rule_009_boolean.py @@ -256,7 +256,7 @@ ) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_009_smart_tabs.py b/tests/concurrent/test_rule_009_smart_tabs.py index 30e979efd..7678e9516 100644 --- a/tests/concurrent/test_rule_009_smart_tabs.py +++ b/tests/concurrent/test_rule_009_smart_tabs.py @@ -256,7 +256,7 @@ ) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_010.py b/tests/concurrent/test_rule_010.py index 565547ac4..d99bf223e 100644 --- a/tests/concurrent/test_rule_010.py +++ b/tests/concurrent/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_011.py b/tests/concurrent/test_rule_011.py index 7a5158e9c..bb4c07f41 100644 --- a/tests/concurrent/test_rule_011.py +++ b/tests/concurrent/test_rule_011.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed_new_line_after_assign_no.vhd"), lExpected_new_line_after_assign_no) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_012.py b/tests/concurrent/test_rule_012.py index 5f15a2a8d..dc6cede1c 100644 --- a/tests/concurrent/test_rule_012.py +++ b/tests/concurrent/test_rule_012.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_400.py b/tests/concurrent/test_rule_400.py index b9f62f4ad..9f56a7408 100644 --- a/tests/concurrent/test_rule_400.py +++ b/tests/concurrent/test_rule_400.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_400_test_input.vhd")) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/concurrent/test_rule_401.py b/tests/concurrent/test_rule_401.py index 3a825cf5c..c78fc1c12 100644 --- a/tests/concurrent/test_rule_401.py +++ b/tests/concurrent/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected) -class test_concurrent_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_expressions/test_rule_100.py b/tests/conditional_expressions/test_rule_100.py index 23af9ec63..3d416ee47 100644 --- a/tests/conditional_expressions/test_rule_100.py +++ b/tests/conditional_expressions/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_conditional_expressions_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_expressions/test_rule_101.py b/tests/conditional_expressions/test_rule_101.py index d3d70f023..306acb44e 100644 --- a/tests/conditional_expressions/test_rule_101.py +++ b/tests/conditional_expressions/test_rule_101.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_conditional_expressions_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_expressions/test_rule_102.py b/tests/conditional_expressions/test_rule_102.py index 481c84372..c2c47f505 100644 --- a/tests/conditional_expressions/test_rule_102.py +++ b/tests/conditional_expressions/test_rule_102.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_102_test_input.fixed.vhd"), lExpected) -class test_conditional_expressions_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_expressions/test_rule_103.py b/tests/conditional_expressions/test_rule_103.py index c2bc17b24..fd270a415 100644 --- a/tests/conditional_expressions/test_rule_103.py +++ b/tests/conditional_expressions/test_rule_103.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_103_test_input.fixed.vhd"), lExpected) -class test_conditional_expressions_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_expressions/test_rule_500.py b/tests/conditional_expressions/test_rule_500.py index 57e94df5d..a862ea182 100644 --- a/tests/conditional_expressions/test_rule_500.py +++ b/tests/conditional_expressions/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_conditional_expressions_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_expressions/test_rule_501.py b/tests/conditional_expressions/test_rule_501.py index b5c78eded..352280e4c 100644 --- a/tests/conditional_expressions/test_rule_501.py +++ b/tests/conditional_expressions/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_conditional_expressions_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_waveforms/test_rule_100.py b/tests/conditional_waveforms/test_rule_100.py index fb7dd1d2b..ec67de1ea 100644 --- a/tests/conditional_waveforms/test_rule_100.py +++ b/tests/conditional_waveforms/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_conditional_waveforms_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_waveforms/test_rule_101.py b/tests/conditional_waveforms/test_rule_101.py index 473204362..e403f4a96 100644 --- a/tests/conditional_waveforms/test_rule_101.py +++ b/tests/conditional_waveforms/test_rule_101.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_conditional_waveforms_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_waveforms/test_rule_102.py b/tests/conditional_waveforms/test_rule_102.py index c365d5114..23ff06e0d 100644 --- a/tests/conditional_waveforms/test_rule_102.py +++ b/tests/conditional_waveforms/test_rule_102.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_102_test_input.fixed.vhd"), lExpected) -class test_conditional_waveforms_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_waveforms/test_rule_103.py b/tests/conditional_waveforms/test_rule_103.py index 4e724d978..e621f2673 100644 --- a/tests/conditional_waveforms/test_rule_103.py +++ b/tests/conditional_waveforms/test_rule_103.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_103_test_input.fixed.vhd"), lExpected) -class test_conditional_waveforms_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_waveforms/test_rule_500.py b/tests/conditional_waveforms/test_rule_500.py index 7e0f08535..fec6c61af 100644 --- a/tests/conditional_waveforms/test_rule_500.py +++ b/tests/conditional_waveforms/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_conditional_waveforms_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/conditional_waveforms/test_rule_501.py b/tests/conditional_waveforms/test_rule_501.py index 76be8d9c3..95af2e54a 100644 --- a/tests/conditional_waveforms/test_rule_501.py +++ b/tests/conditional_waveforms/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_conditional_waveforms_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_001.py b/tests/constant/test_rule_001.py index 223a966b4..62f916033 100644 --- a/tests/constant/test_rule_001.py +++ b/tests/constant/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_002.py b/tests/constant/test_rule_002.py index 99f0ea17a..c295ee4fc 100644 --- a/tests/constant/test_rule_002.py +++ b/tests/constant/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_upper.vhd"), lExpected_upper) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_004.py b/tests/constant/test_rule_004.py index f19d3f205..33a2ef854 100644 --- a/tests/constant/test_rule_004.py +++ b/tests/constant/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_005.py b/tests/constant/test_rule_005.py index 4a1b9209c..8ff32ec9d 100644 --- a/tests/constant/test_rule_005.py +++ b/tests/constant/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_006.py b/tests/constant/test_rule_006.py index c27a69eb5..a3f39ab57 100644 --- a/tests/constant/test_rule_006.py +++ b/tests/constant/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_007.py b/tests/constant/test_rule_007.py index e9aff2b09..b480593a3 100644 --- a/tests/constant/test_rule_007.py +++ b/tests/constant/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_010.py b/tests/constant/test_rule_010.py index df647cda4..554d9c196 100644 --- a/tests/constant/test_rule_010.py +++ b/tests/constant/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_012.py b/tests/constant/test_rule_012.py index 015da2c5d..fa0756c04 100644 --- a/tests/constant/test_rule_012.py +++ b/tests/constant/test_rule_012.py @@ -69,7 +69,7 @@ ) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_014.py b/tests/constant/test_rule_014.py index eb4e6c8af..b361cfbac 100644 --- a/tests/constant/test_rule_014.py +++ b/tests/constant/test_rule_014.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed_align_left_true_align_paren_false.vhd"), lExpected_align_left_true_align_paren_false) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_015.py b/tests/constant/test_rule_015.py index 3a79bf773..c8d2e55b9 100644 --- a/tests/constant/test_rule_015.py +++ b/tests/constant/test_rule_015.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_015_test_input.vhd")) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_016.py b/tests/constant/test_rule_016.py index 20a9ce72d..42a2748b1 100644 --- a/tests/constant/test_rule_016.py +++ b/tests/constant/test_rule_016.py @@ -87,7 +87,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input_positional.fixed.vhd"), lExpected_positional) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_016_boolean.py b/tests/constant/test_rule_016_boolean.py index c57650f7f..229aa5365 100644 --- a/tests/constant/test_rule_016_boolean.py +++ b/tests/constant/test_rule_016_boolean.py @@ -87,7 +87,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input_positional.fixed.vhd"), lExpected_positional) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_100.py b/tests/constant/test_rule_100.py index dc525b683..37885ee6a 100644 --- a/tests/constant/test_rule_100.py +++ b/tests/constant/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_101.py b/tests/constant/test_rule_101.py index 4866a2353..ee9eee366 100644 --- a/tests/constant/test_rule_101.py +++ b/tests/constant/test_rule_101.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_200.py b/tests/constant/test_rule_200.py index 08e8b794a..7dae26838 100644 --- a/tests/constant/test_rule_200.py +++ b/tests/constant/test_rule_200.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/constant/test_rule_600.py b/tests/constant/test_rule_600.py index 440512c5b..5a8ffe47f 100644 --- a/tests/constant/test_rule_600.py +++ b/tests/constant/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_constant_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_001.py b/tests/context/test_rule_001.py index c980387ae..4ab506931 100644 --- a/tests/context/test_rule_001.py +++ b/tests/context/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_002.py b/tests/context/test_rule_002.py index fa47772c6..18d635899 100644 --- a/tests/context/test_rule_002.py +++ b/tests/context/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_003.py b/tests/context/test_rule_003.py index d39b70566..7ed7f0729 100644 --- a/tests/context/test_rule_003.py +++ b/tests/context/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_004.py b/tests/context/test_rule_004.py index 2184869e7..6761a9f91 100644 --- a/tests/context/test_rule_004.py +++ b/tests/context/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_005.py b/tests/context/test_rule_005.py index 24f01b9ca..86bf67a59 100644 --- a/tests/context/test_rule_005.py +++ b/tests/context/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected, False) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_006.py b/tests/context/test_rule_006.py index 468acbe6e..8adf4e442 100644 --- a/tests/context/test_rule_006.py +++ b/tests/context/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected, False) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_007.py b/tests/context/test_rule_007.py index 351b65379..bf383fe1c 100644 --- a/tests/context/test_rule_007.py +++ b/tests/context/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_008.py b/tests/context/test_rule_008.py index fe2ebff40..581913039 100644 --- a/tests/context/test_rule_008.py +++ b/tests/context/test_rule_008.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected, False) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_009.py b/tests/context/test_rule_009.py index 3fc32c69f..d1462b813 100644 --- a/tests/context/test_rule_009.py +++ b/tests/context/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected, False) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_010.py b/tests/context/test_rule_010.py index 103374d2c..97af3d508 100644 --- a/tests/context/test_rule_010.py +++ b/tests/context/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected, False) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_011.py b/tests/context/test_rule_011.py index 29b2cdb8c..5845bb5b9 100644 --- a/tests/context/test_rule_011.py +++ b/tests/context/test_rule_011.py @@ -17,7 +17,7 @@ lExpected.append("") -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_012.py b/tests/context/test_rule_012.py index c2a2c5716..b3f259eac 100644 --- a/tests/context/test_rule_012.py +++ b/tests/context/test_rule_012.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_013.py b/tests/context/test_rule_013.py index e93902f58..5871cea8f 100644 --- a/tests/context/test_rule_013.py +++ b/tests/context/test_rule_013.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_014.py b/tests/context/test_rule_014.py index 8cd34613d..bd5b54d45 100644 --- a/tests/context/test_rule_014.py +++ b/tests/context/test_rule_014.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_015.py b/tests/context/test_rule_015.py index c03725169..fc2eeee6b 100644 --- a/tests/context/test_rule_015.py +++ b/tests/context/test_rule_015.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_016.py b/tests/context/test_rule_016.py index d4fde129c..df1aeb605 100644 --- a/tests/context/test_rule_016.py +++ b/tests/context/test_rule_016.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_017.py b/tests/context/test_rule_017.py index c35d5e191..4986e469f 100644 --- a/tests/context/test_rule_017.py +++ b/tests/context/test_rule_017.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_017_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_018.py b/tests/context/test_rule_018.py index 66836d38f..cf5feac45 100644 --- a/tests/context/test_rule_018.py +++ b/tests/context/test_rule_018.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_018_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_019.py b/tests/context/test_rule_019.py index 309d6c6a8..c6093e0b8 100644 --- a/tests/context/test_rule_019.py +++ b/tests/context/test_rule_019.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_020.py b/tests/context/test_rule_020.py index da8bb4d67..8a89d6388 100644 --- a/tests/context/test_rule_020.py +++ b/tests/context/test_rule_020.py @@ -17,7 +17,7 @@ utils.read_file(os.path.join(sTestDir, "rule_020_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_021.py b/tests/context/test_rule_021.py index 698209b7a..4bfb9e69c 100644 --- a/tests/context/test_rule_021.py +++ b/tests/context/test_rule_021.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed_remove.vhd"), lExpected_remove) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_022.py b/tests/context/test_rule_022.py index 917aa8ba1..56dc6b64a 100644 --- a/tests/context/test_rule_022.py +++ b/tests/context/test_rule_022.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_022_test_input.fixed_remove.vhd"), lExpected_remove) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_023.py b/tests/context/test_rule_023.py index c5bd35d55..58f2bec8e 100644 --- a/tests/context/test_rule_023.py +++ b/tests/context/test_rule_023.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_023_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_024.py b/tests/context/test_rule_024.py index fde84b417..7da88cbaa 100644 --- a/tests/context/test_rule_024.py +++ b/tests/context/test_rule_024.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_024_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context/test_rule_025.py b/tests/context/test_rule_025.py index 34c2b6b03..62d42a24d 100644 --- a/tests/context/test_rule_025.py +++ b/tests/context/test_rule_025.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_025_test_input.fixed.vhd"), lExpected) -class test_context_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context_ref/test_rule_001.py b/tests/context_ref/test_rule_001.py index b03370ddc..0fba5481e 100644 --- a/tests/context_ref/test_rule_001.py +++ b/tests/context_ref/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_context_ref_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context_ref/test_rule_002.py b/tests/context_ref/test_rule_002.py index 1c8021d68..99243fc15 100644 --- a/tests/context_ref/test_rule_002.py +++ b/tests/context_ref/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_context_ref_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context_ref/test_rule_003.py b/tests/context_ref/test_rule_003.py index 5169564cf..fc502af7e 100644 --- a/tests/context_ref/test_rule_003.py +++ b/tests/context_ref/test_rule_003.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_ref_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context_ref/test_rule_005.py b/tests/context_ref/test_rule_005.py index f56898e3a..3766c87d5 100644 --- a/tests/context_ref/test_rule_005.py +++ b/tests/context_ref/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_context_ref_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context_ref/test_rule_500.py b/tests/context_ref/test_rule_500.py index 382080d2f..55b6016f9 100644 --- a/tests/context_ref/test_rule_500.py +++ b/tests/context_ref/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_ref_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/context_ref/test_rule_501.py b/tests/context_ref/test_rule_501.py index ad3befe43..651d00c16 100644 --- a/tests/context_ref/test_rule_501.py +++ b/tests/context_ref/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_context_ref_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/delay_mechanism/test_rule_500.py b/tests/delay_mechanism/test_rule_500.py index ce1a66e66..a46c9a4bc 100644 --- a/tests/delay_mechanism/test_rule_500.py +++ b/tests/delay_mechanism/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_delay_mechanism_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/delay_mechanism/test_rule_501.py b/tests/delay_mechanism/test_rule_501.py index 274d7a0ca..aac792c65 100644 --- a/tests/delay_mechanism/test_rule_501.py +++ b/tests/delay_mechanism/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_delay_mechanism_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/delay_mechanism/test_rule_502.py b/tests/delay_mechanism/test_rule_502.py index 550f8bfb5..0544bee93 100644 --- a/tests/delay_mechanism/test_rule_502.py +++ b/tests/delay_mechanism/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_delay_mechanism_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/element_association/test_rule_100.py b/tests/element_association/test_rule_100.py index 2c18522d9..7f4ef0c86 100644 --- a/tests/element_association/test_rule_100.py +++ b/tests/element_association/test_rule_100.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed_spaces_gte2.vhd"), lExpected_spaces_gte2) -class test_element_association_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/element_association/test_rule_101.py b/tests/element_association/test_rule_101.py index fdf260b2c..761b3c137 100644 --- a/tests/element_association/test_rule_101.py +++ b/tests/element_association/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_element_association_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_001.py b/tests/entity/test_rule_001.py index fabadb9f3..c4c613003 100644 --- a/tests/entity/test_rule_001.py +++ b/tests/entity/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_002.py b/tests/entity/test_rule_002.py index b336d17a4..6e4ef87ec 100644 --- a/tests/entity/test_rule_002.py +++ b/tests/entity/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_003.py b/tests/entity/test_rule_003.py index 0b289ddc3..bac2ca26c 100644 --- a/tests/entity/test_rule_003.py +++ b/tests/entity/test_rule_003.py @@ -28,7 +28,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed_require_comment.vhd"), lExpected_require_comment) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_004.py b/tests/entity/test_rule_004.py index d678db2ac..436b2228c 100644 --- a/tests/entity/test_rule_004.py +++ b/tests/entity/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_005.py b/tests/entity/test_rule_005.py index 2c77da717..0e3f47379 100644 --- a/tests/entity/test_rule_005.py +++ b/tests/entity/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_006.py b/tests/entity/test_rule_006.py index ff094c661..ef834c102 100644 --- a/tests/entity/test_rule_006.py +++ b/tests/entity/test_rule_006.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_007.py b/tests/entity/test_rule_007.py index 59d5c5771..93f03b3cc 100644 --- a/tests/entity/test_rule_007.py +++ b/tests/entity/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_008.py b/tests/entity/test_rule_008.py index c7491b51c..c6ed6fbda 100644 --- a/tests/entity/test_rule_008.py +++ b/tests/entity/test_rule_008.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_008_test_input.vhd")) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_009.py b/tests/entity/test_rule_009.py index 5e5f7646f..dc4ca0149 100644 --- a/tests/entity/test_rule_009.py +++ b/tests/entity/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_010.py b/tests/entity/test_rule_010.py index e464e918d..25c8c6915 100644 --- a/tests/entity/test_rule_010.py +++ b/tests/entity/test_rule_010.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_011.py b/tests/entity/test_rule_011.py index 6a55b05d5..3c0f5b176 100644 --- a/tests/entity/test_rule_011.py +++ b/tests/entity/test_rule_011.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_012.py b/tests/entity/test_rule_012.py index aa8e33573..475fb8005 100644 --- a/tests/entity/test_rule_012.py +++ b/tests/entity/test_rule_012.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_013.py b/tests/entity/test_rule_013.py index 259208808..7bac4b3bf 100644 --- a/tests/entity/test_rule_013.py +++ b/tests/entity/test_rule_013.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_014.py b/tests/entity/test_rule_014.py index bcccafb30..d22b04aca 100644 --- a/tests/entity/test_rule_014.py +++ b/tests/entity/test_rule_014.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_015.py b/tests/entity/test_rule_015.py index 58af8a4dc..84ac934fd 100644 --- a/tests/entity/test_rule_015.py +++ b/tests/entity/test_rule_015.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed_remove.vhd"), lExpected_remove) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_016.py b/tests/entity/test_rule_016.py index 283430324..54b224de1 100644 --- a/tests/entity/test_rule_016.py +++ b/tests/entity/test_rule_016.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_017.py b/tests/entity/test_rule_017.py index 1959ce85e..eef3168da 100644 --- a/tests/entity/test_rule_017.py +++ b/tests/entity/test_rule_017.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_017_test_input.vhd")) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_018.py b/tests/entity/test_rule_018.py index 83213160e..91deeb122 100644 --- a/tests/entity/test_rule_018.py +++ b/tests/entity/test_rule_018.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_018_test_input.vhd")) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_019.py b/tests/entity/test_rule_019.py index 1066dddf3..2f7952aca 100644 --- a/tests/entity/test_rule_019.py +++ b/tests/entity/test_rule_019.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed_remove.vhd"), lExpected_remove) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_020.py b/tests/entity/test_rule_020.py index 193c88670..cf32dad56 100644 --- a/tests/entity/test_rule_020.py +++ b/tests/entity/test_rule_020.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_020_test_input.vhd")) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_021.py b/tests/entity/test_rule_021.py index da5bdd8f5..df6c91f46 100644 --- a/tests/entity/test_rule_021.py +++ b/tests/entity/test_rule_021.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_022.py b/tests/entity/test_rule_022.py index 9664bb81f..6347a4c00 100644 --- a/tests/entity/test_rule_022.py +++ b/tests/entity/test_rule_022.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_022_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_023.py b/tests/entity/test_rule_023.py index e3c3ba8df..1e9ebd1b6 100644 --- a/tests/entity/test_rule_023.py +++ b/tests/entity/test_rule_023.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_023_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_024.py b/tests/entity/test_rule_024.py index edc163c59..2ff7df65f 100644 --- a/tests/entity/test_rule_024.py +++ b/tests/entity/test_rule_024.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_024_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_025.py b/tests/entity/test_rule_025.py index 49116f3df..b8f9bd096 100644 --- a/tests/entity/test_rule_025.py +++ b/tests/entity/test_rule_025.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_025_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_026.py b/tests/entity/test_rule_026.py index a595e19b4..c07617d10 100644 --- a/tests/entity/test_rule_026.py +++ b/tests/entity/test_rule_026.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_026_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_027.py b/tests/entity/test_rule_027.py index b93158cf5..d1b61d7ec 100644 --- a/tests/entity/test_rule_027.py +++ b/tests/entity/test_rule_027.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_027_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_028.py b/tests/entity/test_rule_028.py index a422e7a88..bb3f47cfd 100644 --- a/tests/entity/test_rule_028.py +++ b/tests/entity/test_rule_028.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_028_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_029.py b/tests/entity/test_rule_029.py index 2345d98b9..4bc655d46 100644 --- a/tests/entity/test_rule_029.py +++ b/tests/entity/test_rule_029.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_029_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_200.py b/tests/entity/test_rule_200.py index 77f7ea413..51943ebb6 100644 --- a/tests/entity/test_rule_200.py +++ b/tests/entity/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_201.py b/tests/entity/test_rule_201.py index dfaeae271..710e682b9 100644 --- a/tests/entity/test_rule_201.py +++ b/tests/entity/test_rule_201.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_202.py b/tests/entity/test_rule_202.py index 97bcf49f1..560fce727 100644 --- a/tests/entity/test_rule_202.py +++ b/tests/entity/test_rule_202.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_202_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_203.py b/tests/entity/test_rule_203.py index b319a6c9d..da1bd798a 100644 --- a/tests/entity/test_rule_203.py +++ b/tests/entity/test_rule_203.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_203_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_300.py b/tests/entity/test_rule_300.py index 75f36d4c2..7e93f65bf 100644 --- a/tests/entity/test_rule_300.py +++ b/tests/entity/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity/test_rule_500.py b/tests/entity/test_rule_500.py index 18aa77460..36bf4c4c1 100644 --- a/tests/entity/test_rule_500.py +++ b/tests/entity/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity_specification/test_rule_100.py b/tests/entity_specification/test_rule_100.py index accee0f8a..5229e6c0c 100644 --- a/tests/entity_specification/test_rule_100.py +++ b/tests/entity_specification/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected, False) -class test_entity_specification_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity_specification/test_rule_101.py b/tests/entity_specification/test_rule_101.py index 67c37ceb1..2975088ec 100644 --- a/tests/entity_specification/test_rule_101.py +++ b/tests/entity_specification/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected, False) -class test_entity_specification_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity_specification/test_rule_500.py b/tests/entity_specification/test_rule_500.py index c55acd4ec..64a46f971 100644 --- a/tests/entity_specification/test_rule_500.py +++ b/tests/entity_specification/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_specification_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity_specification/test_rule_501.py b/tests/entity_specification/test_rule_501.py index 44846a302..ba70cf746 100644 --- a/tests/entity_specification/test_rule_501.py +++ b/tests/entity_specification/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_specification_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/entity_specification/test_rule_503.py b/tests/entity_specification/test_rule_503.py index c973d0503..af2869944 100644 --- a/tests/entity_specification/test_rule_503.py +++ b/tests/entity_specification/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_entity_specification_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/exit_statement/test_rule_300.py b/tests/exit_statement/test_rule_300.py index 9d9b64c6f..26ab0d31e 100644 --- a/tests/exit_statement/test_rule_300.py +++ b/tests/exit_statement/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected, False) -class test_exit_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/exit_statement/test_rule_301.py b/tests/exit_statement/test_rule_301.py index 18b23c86d..6c13ac210 100644 --- a/tests/exit_statement/test_rule_301.py +++ b/tests/exit_statement/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected, False) -class test_exit_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/exit_statement/test_rule_500.py b/tests/exit_statement/test_rule_500.py index 3b35ae137..57c56a94f 100644 --- a/tests/exit_statement/test_rule_500.py +++ b/tests/exit_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_exit_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/exit_statement/test_rule_501.py b/tests/exit_statement/test_rule_501.py index 9fed40a2f..b1f90050b 100644 --- a/tests/exit_statement/test_rule_501.py +++ b/tests/exit_statement/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_exit_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/exponent/test_rule_500.py b/tests/exponent/test_rule_500.py index 452c84734..b02c613de 100644 --- a/tests/exponent/test_rule_500.py +++ b/tests/exponent/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_exponent_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/file_statement/test_rule_001.py b/tests/file_statement/test_rule_001.py index e6da158cb..e4a2c5251 100644 --- a/tests/file_statement/test_rule_001.py +++ b/tests/file_statement/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_file_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/file_statement/test_rule_002.py b/tests/file_statement/test_rule_002.py index cf2b8eb9e..9004cc15a 100644 --- a/tests/file_statement/test_rule_002.py +++ b/tests/file_statement/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_upper.vhd"), lExpected_upper) -class test_file_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/file_statement/test_rule_100.py b/tests/file_statement/test_rule_100.py index f4d26ddb3..68c52effe 100644 --- a/tests/file_statement/test_rule_100.py +++ b/tests/file_statement/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_file_declaration_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/file_statement/test_rule_500.py b/tests/file_statement/test_rule_500.py index 7fb510a1c..0d8b94561 100644 --- a/tests/file_statement/test_rule_500.py +++ b/tests/file_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_file_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/for_generate_statement/test_rule_500.py b/tests/for_generate_statement/test_rule_500.py index 7f7bdff5a..34210aefc 100644 --- a/tests/for_generate_statement/test_rule_500.py +++ b/tests/for_generate_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_for_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/for_generate_statement/test_rule_501.py b/tests/for_generate_statement/test_rule_501.py index 93042c25b..f5b78d6c8 100644 --- a/tests/for_generate_statement/test_rule_501.py +++ b/tests/for_generate_statement/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_for_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_001.py b/tests/function/test_rule_001.py index 072abe263..11e0c03bb 100644 --- a/tests/function/test_rule_001.py +++ b/tests/function/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_004.py b/tests/function/test_rule_004.py index 7ed3f2029..f9e425561 100644 --- a/tests/function/test_rule_004.py +++ b/tests/function/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_005.py b/tests/function/test_rule_005.py index 575be1402..08d440ea1 100644 --- a/tests/function/test_rule_005.py +++ b/tests/function/test_rule_005.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_006.py b/tests/function/test_rule_006.py index fed77c85c..aa72ab43b 100644 --- a/tests/function/test_rule_006.py +++ b/tests/function/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_008.py b/tests/function/test_rule_008.py index 50203ab9f..801ac841d 100644 --- a/tests/function/test_rule_008.py +++ b/tests/function/test_rule_008.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_012.py b/tests/function/test_rule_012.py index 4a6f020ae..d80a271e9 100644 --- a/tests/function/test_rule_012.py +++ b/tests/function/test_rule_012.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_013.py b/tests/function/test_rule_013.py index b78a70f60..a8df44579 100644 --- a/tests/function/test_rule_013.py +++ b/tests/function/test_rule_013.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_015.py b/tests/function/test_rule_015.py index a40f62625..df8ac7003 100644 --- a/tests/function/test_rule_015.py +++ b/tests/function/test_rule_015.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_016.py b/tests/function/test_rule_016.py index 2f1311288..09f750939 100644 --- a/tests/function/test_rule_016.py +++ b/tests/function/test_rule_016.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_017.py b/tests/function/test_rule_017.py index 94597e951..cfdfb8a24 100644 --- a/tests/function/test_rule_017.py +++ b/tests/function/test_rule_017.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_017_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_018.py b/tests/function/test_rule_018.py index 890cc419b..3303a292c 100644 --- a/tests/function/test_rule_018.py +++ b/tests/function/test_rule_018.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_018_test_input.vhd")) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_020.py b/tests/function/test_rule_020.py index f414490a3..20388ae9c 100644 --- a/tests/function/test_rule_020.py +++ b/tests/function/test_rule_020.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_020_test_input.vhd")) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_100.py b/tests/function/test_rule_100.py index 3f11a1baa..07d65c754 100644 --- a/tests/function/test_rule_100.py +++ b/tests/function/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_101.py b/tests/function/test_rule_101.py index 6259886f9..58f981228 100644 --- a/tests/function/test_rule_101.py +++ b/tests/function/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_300.py b/tests/function/test_rule_300.py index efa101351..5a4ecd1c2 100644 --- a/tests/function/test_rule_300.py +++ b/tests/function/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_501.py b/tests/function/test_rule_501.py index d178d3bf3..c627578b2 100644 --- a/tests/function/test_rule_501.py +++ b/tests/function/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_502.py b/tests/function/test_rule_502.py index c423ce03d..70b9e072b 100644 --- a/tests/function/test_rule_502.py +++ b/tests/function/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_506.py b/tests/function/test_rule_506.py index 3abf768ae..ce07c3c76 100644 --- a/tests/function/test_rule_506.py +++ b/tests/function/test_rule_506.py @@ -36,7 +36,7 @@ utils.read_file(os.path.join(sTestDir, "rule_506_test_input.fixed_upper_with_lower_suffix.vhd"), lExpected_upper_with_lower_suffix) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_507.py b/tests/function/test_rule_507.py index 1ee5e5bd8..de597be65 100644 --- a/tests/function/test_rule_507.py +++ b/tests/function/test_rule_507.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_507_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_508.py b/tests/function/test_rule_508.py index 0664b2522..4fc080246 100644 --- a/tests/function/test_rule_508.py +++ b/tests/function/test_rule_508.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_508_test_input.fixed.vhd"), lExpected) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_509.py b/tests/function/test_rule_509.py index e5d2d4cfa..fcc4aa974 100644 --- a/tests/function/test_rule_509.py +++ b/tests/function/test_rule_509.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_509_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_510.py b/tests/function/test_rule_510.py index 399ff5b1a..89edbdcf4 100644 --- a/tests/function/test_rule_510.py +++ b/tests/function/test_rule_510.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_510_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_511.py b/tests/function/test_rule_511.py index 828e7eda7..c39f2ebfb 100644 --- a/tests/function/test_rule_511.py +++ b/tests/function/test_rule_511.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_511_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_512.py b/tests/function/test_rule_512.py index 4228fbd86..ba9216f12 100644 --- a/tests/function/test_rule_512.py +++ b/tests/function/test_rule_512.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_512_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_600.py b/tests/function/test_rule_600.py index 8d4245caf..321cfc05d 100644 --- a/tests/function/test_rule_600.py +++ b/tests/function/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/function/test_rule_601.py b/tests/function/test_rule_601.py index 7a96079c6..477b15a64 100644 --- a/tests/function/test_rule_601.py +++ b/tests/function/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_001.py b/tests/generate/test_rule_001.py index d6f346e68..6b78e2829 100644 --- a/tests/generate/test_rule_001.py +++ b/tests/generate/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_002.py b/tests/generate/test_rule_002.py index 1396f1b67..0866aa07f 100644 --- a/tests/generate/test_rule_002.py +++ b/tests/generate/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_w_0_spaces.vhd"), lExpected_w_0_spaces) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_003.py b/tests/generate/test_rule_003.py index dc68b229d..ca832eeef 100644 --- a/tests/generate/test_rule_003.py +++ b/tests/generate/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_004.py b/tests/generate/test_rule_004.py index 6c7ad4f87..7da7dcf45 100644 --- a/tests/generate/test_rule_004.py +++ b/tests/generate/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_005.py b/tests/generate/test_rule_005.py index e92948804..a82406fd3 100644 --- a/tests/generate/test_rule_005.py +++ b/tests/generate/test_rule_005.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_006.py b/tests/generate/test_rule_006.py index e8d95d57a..017db335c 100644 --- a/tests/generate/test_rule_006.py +++ b/tests/generate/test_rule_006.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_007.py b/tests/generate/test_rule_007.py index 903736253..2471a193f 100644 --- a/tests/generate/test_rule_007.py +++ b/tests/generate/test_rule_007.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_008.py b/tests/generate/test_rule_008.py index c3284cd43..80c2f94f8 100644 --- a/tests/generate/test_rule_008.py +++ b/tests/generate/test_rule_008.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_009.py b/tests/generate/test_rule_009.py index c8a3de156..f54eff284 100644 --- a/tests/generate/test_rule_009.py +++ b/tests/generate/test_rule_009.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_010.py b/tests/generate/test_rule_010.py index 5f98b71c0..d0ba45e4f 100644 --- a/tests/generate/test_rule_010.py +++ b/tests/generate/test_rule_010.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_011.py b/tests/generate/test_rule_011.py index 3586634ae..d0de1b928 100644 --- a/tests/generate/test_rule_011.py +++ b/tests/generate/test_rule_011.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed_remove.vhd"), lExpected_remove) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_012.py b/tests/generate/test_rule_012.py index 780217eed..0fce67cd7 100644 --- a/tests/generate/test_rule_012.py +++ b/tests/generate/test_rule_012.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_013.py b/tests/generate/test_rule_013.py index b8a3fe4eb..402c03f19 100644 --- a/tests/generate/test_rule_013.py +++ b/tests/generate/test_rule_013.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_014.py b/tests/generate/test_rule_014.py index 12ceb6e46..b7e03d922 100644 --- a/tests/generate/test_rule_014.py +++ b/tests/generate/test_rule_014.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_016.py b/tests/generate/test_rule_016.py index 9f9bf5cf0..33bc73890 100644 --- a/tests/generate/test_rule_016.py +++ b/tests/generate/test_rule_016.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_017.py b/tests/generate/test_rule_017.py index bf971e3c0..d6f995aa0 100644 --- a/tests/generate/test_rule_017.py +++ b/tests/generate/test_rule_017.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_017_test_input.vhd")) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_018.py b/tests/generate/test_rule_018.py index 0200dc6f8..0ef343884 100644 --- a/tests/generate/test_rule_018.py +++ b/tests/generate/test_rule_018.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_018_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_019.py b/tests/generate/test_rule_019.py index 45c5aa234..4caa8a938 100644 --- a/tests/generate/test_rule_019.py +++ b/tests/generate/test_rule_019.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_020.py b/tests/generate/test_rule_020.py index 9b1f47bf9..92e365046 100644 --- a/tests/generate/test_rule_020.py +++ b/tests/generate/test_rule_020.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_020_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_021.py b/tests/generate/test_rule_021.py index 8170b8af6..12da3f377 100644 --- a/tests/generate/test_rule_021.py +++ b/tests/generate/test_rule_021.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_400.py b/tests/generate/test_rule_400.py index 741c75e56..33779a3d2 100644 --- a/tests/generate/test_rule_400.py +++ b/tests/generate/test_rule_400.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_400_test_input.vhd")) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_401.py b/tests/generate/test_rule_401.py index 60b7332d7..734fd329a 100644 --- a/tests/generate/test_rule_401.py +++ b/tests/generate/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_402.py b/tests/generate/test_rule_402.py index 0f38bb00b..b89daae06 100644 --- a/tests/generate/test_rule_402.py +++ b/tests/generate/test_rule_402.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_402_test_input.vhd")) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_403.py b/tests/generate/test_rule_403.py index e32160c66..53b57b681 100644 --- a/tests/generate/test_rule_403.py +++ b/tests/generate/test_rule_403.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_403_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_404.py b/tests/generate/test_rule_404.py index 0a64b53f3..0ea5c042c 100644 --- a/tests/generate/test_rule_404.py +++ b/tests/generate/test_rule_404.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_404_test_input.vhd")) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_405.py b/tests/generate/test_rule_405.py index ea5e6dfb9..ec95fdece 100644 --- a/tests/generate/test_rule_405.py +++ b/tests/generate/test_rule_405.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_405_test_input.fixed.vhd"), lExpected) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_500.py b/tests/generate/test_rule_500.py index c987918d8..0f828207d 100644 --- a/tests/generate/test_rule_500.py +++ b/tests/generate/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_501.py b/tests/generate/test_rule_501.py index 05c3e7c35..763d3eb87 100644 --- a/tests/generate/test_rule_501.py +++ b/tests/generate/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generate/test_rule_600.py b/tests/generate/test_rule_600.py index 2667c55c1..cc32b800a 100644 --- a/tests/generate/test_rule_600.py +++ b/tests/generate/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_generate_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_002.py b/tests/generic/test_rule_002.py index a337dfd34..0baee5599 100644 --- a/tests/generic/test_rule_002.py +++ b/tests/generic/test_rule_002.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_003.py b/tests/generic/test_rule_003.py index ff229491e..54d4315da 100644 --- a/tests/generic/test_rule_003.py +++ b/tests/generic/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_004.py b/tests/generic/test_rule_004.py index b95924d0c..99f3e2d78 100644 --- a/tests/generic/test_rule_004.py +++ b/tests/generic/test_rule_004.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_005.py b/tests/generic/test_rule_005.py index 89bf58b7c..3e3736ceb 100644 --- a/tests/generic/test_rule_005.py +++ b/tests/generic/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_006.py b/tests/generic/test_rule_006.py index 75e61535e..e78a835af 100644 --- a/tests/generic/test_rule_006.py +++ b/tests/generic/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_008.py b/tests/generic/test_rule_008.py index fed9d6aed..051e051db 100644 --- a/tests/generic/test_rule_008.py +++ b/tests/generic/test_rule_008.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_009.py b/tests/generic/test_rule_009.py index f7ab6d082..7b6cf25c6 100644 --- a/tests/generic/test_rule_009.py +++ b/tests/generic/test_rule_009.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_010.py b/tests/generic/test_rule_010.py index 627860358..e42479f88 100644 --- a/tests/generic/test_rule_010.py +++ b/tests/generic/test_rule_010.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed_move_left.vhd"), lExpected_move_left) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_013.py b/tests/generic/test_rule_013.py index 5b64545c2..3b6d050e7 100644 --- a/tests/generic/test_rule_013.py +++ b/tests/generic/test_rule_013.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_014.py b/tests/generic/test_rule_014.py index aba0f1cf1..02a08f812 100644 --- a/tests/generic/test_rule_014.py +++ b/tests/generic/test_rule_014.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_016.py b/tests/generic/test_rule_016.py index 9cea1cc1e..f8512421f 100644 --- a/tests/generic/test_rule_016.py +++ b/tests/generic/test_rule_016.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_018.py b/tests/generic/test_rule_018.py index 3a759357c..13db3b860 100644 --- a/tests/generic/test_rule_018.py +++ b/tests/generic/test_rule_018.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_018_test_input.fixed.vhd"), lExpected, False) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_019.py b/tests/generic/test_rule_019.py index b8f7dfc4d..20c95b537 100644 --- a/tests/generic/test_rule_019.py +++ b/tests/generic/test_rule_019.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed.vhd"), lExpected, False) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_021.py b/tests/generic/test_rule_021.py index c8549e3fc..120af639f 100644 --- a/tests/generic/test_rule_021.py +++ b/tests/generic/test_rule_021.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed.vhd"), lExpected) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic/test_rule_600.py b/tests/generic/test_rule_600.py index a8eea2c6b..96c62a52f 100644 --- a/tests/generic/test_rule_600.py +++ b/tests/generic/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_generic_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_001.py b/tests/generic_map/test_rule_001.py index 278911ff0..934ecbf99 100644 --- a/tests/generic_map/test_rule_001.py +++ b/tests/generic_map/test_rule_001.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_002.py b/tests/generic_map/test_rule_002.py index ebee76db1..a2a540de8 100644 --- a/tests/generic_map/test_rule_002.py +++ b/tests/generic_map/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_upper.vhd"), lExpected_upper) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_003.py b/tests/generic_map/test_rule_003.py index 97fe9cb57..a0281bcfa 100644 --- a/tests/generic_map/test_rule_003.py +++ b/tests/generic_map/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected, False) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_004.py b/tests/generic_map/test_rule_004.py index 7ed415725..3bd499c5c 100644 --- a/tests/generic_map/test_rule_004.py +++ b/tests/generic_map/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_005.py b/tests/generic_map/test_rule_005.py index 9bbc3f897..eba84a0e5 100644 --- a/tests/generic_map/test_rule_005.py +++ b/tests/generic_map/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_006.py b/tests/generic_map/test_rule_006.py index f9f456d7c..867378cd2 100644 --- a/tests/generic_map/test_rule_006.py +++ b/tests/generic_map/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_007.py b/tests/generic_map/test_rule_007.py index b624fd475..54088beb1 100644 --- a/tests/generic_map/test_rule_007.py +++ b/tests/generic_map/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_008.py b/tests/generic_map/test_rule_008.py index f1901965a..aa494a7b7 100644 --- a/tests/generic_map/test_rule_008.py +++ b/tests/generic_map/test_rule_008.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_008_test_input.vhd")) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_009.py b/tests/generic_map/test_rule_009.py index c9acc39bc..5e8317bc4 100644 --- a/tests/generic_map/test_rule_009.py +++ b/tests/generic_map/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected, False) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_101.py b/tests/generic_map/test_rule_101.py index d3f8ab4b3..99ed9207e 100644 --- a/tests/generic_map/test_rule_101.py +++ b/tests/generic_map/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_300.py b/tests/generic_map/test_rule_300.py index b57913501..93399f8fb 100644 --- a/tests/generic_map/test_rule_300.py +++ b/tests/generic_map/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_301.py b/tests/generic_map/test_rule_301.py index 1b1eefc17..c02001684 100644 --- a/tests/generic_map/test_rule_301.py +++ b/tests/generic_map/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_302.py b/tests/generic_map/test_rule_302.py index 6252a0048..a868e7f7e 100644 --- a/tests/generic_map/test_rule_302.py +++ b/tests/generic_map/test_rule_302.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_302_test_input.fixed.vhd"), lExpected) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_600.py b/tests/generic_map/test_rule_600.py index 4c179a0d5..9c840b105 100644 --- a/tests/generic_map/test_rule_600.py +++ b/tests/generic_map/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/generic_map/test_rule_601.py b/tests/generic_map/test_rule_601.py index 2c329cfb3..79f8cde6a 100644 --- a/tests/generic_map/test_rule_601.py +++ b/tests/generic_map/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_generic_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_generate_statement/test_rule_300.py b/tests/if_generate_statement/test_rule_300.py index 0d8efe3d5..79944e874 100644 --- a/tests/if_generate_statement/test_rule_300.py +++ b/tests/if_generate_statement/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_if_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_generate_statement/test_rule_301.py b/tests/if_generate_statement/test_rule_301.py index 8fd1e1c06..9c47a49f8 100644 --- a/tests/if_generate_statement/test_rule_301.py +++ b/tests/if_generate_statement/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected) -class test_if_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_generate_statement/test_rule_500.py b/tests/if_generate_statement/test_rule_500.py index 850a6d602..261e04e08 100644 --- a/tests/if_generate_statement/test_rule_500.py +++ b/tests/if_generate_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_generate_statement/test_rule_501.py b/tests/if_generate_statement/test_rule_501.py index e1e81c161..fed801488 100644 --- a/tests/if_generate_statement/test_rule_501.py +++ b/tests/if_generate_statement/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_generate_statement/test_rule_502.py b/tests/if_generate_statement/test_rule_502.py index bff4f92f5..4f0896673 100644 --- a/tests/if_generate_statement/test_rule_502.py +++ b/tests/if_generate_statement/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_generate_statement/test_rule_503.py b/tests/if_generate_statement/test_rule_503.py index 7a239aa46..bad3b5a75 100644 --- a/tests/if_generate_statement/test_rule_503.py +++ b/tests/if_generate_statement/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_generate_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_001.py b/tests/if_statement/test_rule_001.py index eef01934c..8d8b519d6 100644 --- a/tests/if_statement/test_rule_001.py +++ b/tests/if_statement/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_002.py b/tests/if_statement/test_rule_002.py index 345a24b2d..f7697d605 100644 --- a/tests/if_statement/test_rule_002.py +++ b/tests/if_statement/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_parenthesis_remove.vhd"), lExpected_parenthesis_remove) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_003.py b/tests/if_statement/test_rule_003.py index 0f7a9c741..f3b1a092e 100644 --- a/tests/if_statement/test_rule_003.py +++ b/tests/if_statement/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_004.py b/tests/if_statement/test_rule_004.py index a3207911b..ee02e1db7 100644 --- a/tests/if_statement/test_rule_004.py +++ b/tests/if_statement/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_005.py b/tests/if_statement/test_rule_005.py index 4734f853e..2c962e3ff 100644 --- a/tests/if_statement/test_rule_005.py +++ b/tests/if_statement/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_006.py b/tests/if_statement/test_rule_006.py index 60543f914..dc3cb48eb 100644 --- a/tests/if_statement/test_rule_006.py +++ b/tests/if_statement/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_007.py b/tests/if_statement/test_rule_007.py index b902a56b1..e3c86e283 100644 --- a/tests/if_statement/test_rule_007.py +++ b/tests/if_statement/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_008.py b/tests/if_statement/test_rule_008.py index 6a7dc434b..3c9e4d64c 100644 --- a/tests/if_statement/test_rule_008.py +++ b/tests/if_statement/test_rule_008.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_009.py b/tests/if_statement/test_rule_009.py index f9b10c142..26d55e28a 100644 --- a/tests/if_statement/test_rule_009.py +++ b/tests/if_statement/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_010.py b/tests/if_statement/test_rule_010.py index 6986517d7..2ba062ffe 100644 --- a/tests/if_statement/test_rule_010.py +++ b/tests/if_statement/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_011.py b/tests/if_statement/test_rule_011.py index 89bf4011c..ecf6abae8 100644 --- a/tests/if_statement/test_rule_011.py +++ b/tests/if_statement/test_rule_011.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_012.py b/tests/if_statement/test_rule_012.py index eb4a569e3..3a7b45033 100644 --- a/tests/if_statement/test_rule_012.py +++ b/tests/if_statement/test_rule_012.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_013.py b/tests/if_statement/test_rule_013.py index 8be926901..4c8711aaa 100644 --- a/tests/if_statement/test_rule_013.py +++ b/tests/if_statement/test_rule_013.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_014.py b/tests/if_statement/test_rule_014.py index eaee4a4b6..d11fac642 100644 --- a/tests/if_statement/test_rule_014.py +++ b/tests/if_statement/test_rule_014.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_015.py b/tests/if_statement/test_rule_015.py index cece1604d..e1b2e8631 100644 --- a/tests/if_statement/test_rule_015.py +++ b/tests/if_statement/test_rule_015.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_020.py b/tests/if_statement/test_rule_020.py index 5c16a1333..9c59af09b 100644 --- a/tests/if_statement/test_rule_020.py +++ b/tests/if_statement/test_rule_020.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_020_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_021.py b/tests/if_statement/test_rule_021.py index ba88f887a..d1b537cf8 100644 --- a/tests/if_statement/test_rule_021.py +++ b/tests/if_statement/test_rule_021.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed.vhd"), lExpected, False) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_022.py b/tests/if_statement/test_rule_022.py index c940f0a7f..f50ac59df 100644 --- a/tests/if_statement/test_rule_022.py +++ b/tests/if_statement/test_rule_022.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_022_test_input.fixed.vhd"), lExpected, False) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_023.py b/tests/if_statement/test_rule_023.py index 77c6038be..11aedae40 100644 --- a/tests/if_statement/test_rule_023.py +++ b/tests/if_statement/test_rule_023.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_023_test_input.fixed.vhd"), lExpected, False) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_024.py b/tests/if_statement/test_rule_024.py index cf371b546..13f204280 100644 --- a/tests/if_statement/test_rule_024.py +++ b/tests/if_statement/test_rule_024.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_024_test_input.fixed.vhd"), lExpected, False) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_025.py b/tests/if_statement/test_rule_025.py index 844d9efa1..23cfe02ad 100644 --- a/tests/if_statement/test_rule_025.py +++ b/tests/if_statement/test_rule_025.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_025_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_026.py b/tests/if_statement/test_rule_026.py index 10bebf1f5..e76e82b10 100644 --- a/tests/if_statement/test_rule_026.py +++ b/tests/if_statement/test_rule_026.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_026_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_027.py b/tests/if_statement/test_rule_027.py index 074edb9c4..e9d293077 100644 --- a/tests/if_statement/test_rule_027.py +++ b/tests/if_statement/test_rule_027.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_027_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_028.py b/tests/if_statement/test_rule_028.py index 1e98fca61..33b196195 100644 --- a/tests/if_statement/test_rule_028.py +++ b/tests/if_statement/test_rule_028.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_028_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_029.py b/tests/if_statement/test_rule_029.py index 3ac4114b9..9e3e0ad19 100644 --- a/tests/if_statement/test_rule_029.py +++ b/tests/if_statement/test_rule_029.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_029_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_030.py b/tests/if_statement/test_rule_030.py index 242988e9a..aa509179a 100644 --- a/tests/if_statement/test_rule_030.py +++ b/tests/if_statement/test_rule_030.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_030_test_input.fixed_no_blank.vhd"), lExpected_no_blank) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_031.py b/tests/if_statement/test_rule_031.py index e39be3f46..78eec4a9c 100644 --- a/tests/if_statement/test_rule_031.py +++ b/tests/if_statement/test_rule_031.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_031_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_034.py b/tests/if_statement/test_rule_034.py index 7dc275a6f..3b483f1f2 100644 --- a/tests/if_statement/test_rule_034.py +++ b/tests/if_statement/test_rule_034.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_034_test_input.fixed_upper.vhd"), lExpected_upper) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_035.py b/tests/if_statement/test_rule_035.py index 8f187a9b6..5d1a1cf02 100644 --- a/tests/if_statement/test_rule_035.py +++ b/tests/if_statement/test_rule_035.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_035_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/if_statement/test_rule_036.py b/tests/if_statement/test_rule_036.py index ecbdf922d..d1132320c 100644 --- a/tests/if_statement/test_rule_036.py +++ b/tests/if_statement/test_rule_036.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_036_test_input.fixed.vhd"), lExpected) -class test_if_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_002.py b/tests/instantiation/test_rule_002.py index 547c5040d..f478aaae9 100644 --- a/tests/instantiation/test_rule_002.py +++ b/tests/instantiation/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_003.py b/tests/instantiation/test_rule_003.py index aacde9e9c..5bbd0ace2 100644 --- a/tests/instantiation/test_rule_003.py +++ b/tests/instantiation/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_004.py b/tests/instantiation/test_rule_004.py index 0f67372ff..95dee620d 100644 --- a/tests/instantiation/test_rule_004.py +++ b/tests/instantiation/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_008.py b/tests/instantiation/test_rule_008.py index ceff33934..476f0cff2 100644 --- a/tests/instantiation/test_rule_008.py +++ b/tests/instantiation/test_rule_008.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed_upper.vhd"), lExpected_upper) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_009.py b/tests/instantiation/test_rule_009.py index 0b6cdabfc..ba0121c34 100644 --- a/tests/instantiation/test_rule_009.py +++ b/tests/instantiation/test_rule_009.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed_upper.vhd"), lExpected_upper) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_010.py b/tests/instantiation/test_rule_010.py index a48a9ff77..d3135f291 100644 --- a/tests/instantiation/test_rule_010.py +++ b/tests/instantiation/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_012.py b/tests/instantiation/test_rule_012.py index 43f9e55c4..a6353e4cf 100644 --- a/tests/instantiation/test_rule_012.py +++ b/tests/instantiation/test_rule_012.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_019.py b/tests/instantiation/test_rule_019.py index 7fb10a7e4..1bf53e182 100644 --- a/tests/instantiation/test_rule_019.py +++ b/tests/instantiation/test_rule_019.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_027.py b/tests/instantiation/test_rule_027.py index 590b31a04..f72fba838 100644 --- a/tests/instantiation/test_rule_027.py +++ b/tests/instantiation/test_rule_027.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_027_test_input.fixed_upper.vhd"), lExpected_upper) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_028.py b/tests/instantiation/test_rule_028.py index 654e49824..854bc29d1 100644 --- a/tests/instantiation/test_rule_028.py +++ b/tests/instantiation/test_rule_028.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_028_test_input.fixed_upper.vhd"), lExpected_upper) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_029.py b/tests/instantiation/test_rule_029.py index a12bf1a75..d2c493025 100644 --- a/tests/instantiation/test_rule_029.py +++ b/tests/instantiation/test_rule_029.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_029_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_031.py b/tests/instantiation/test_rule_031.py index 8683da6d1..d55519dc7 100644 --- a/tests/instantiation/test_rule_031.py +++ b/tests/instantiation/test_rule_031.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_031_test_input.fixed_upper.vhd"), lExpected_upper) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_032.py b/tests/instantiation/test_rule_032.py index 720dcb6fe..3e7858dd1 100644 --- a/tests/instantiation/test_rule_032.py +++ b/tests/instantiation/test_rule_032.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_032_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_033.py b/tests/instantiation/test_rule_033.py index 670a8abef..a7a33a2aa 100644 --- a/tests/instantiation/test_rule_033.py +++ b/tests/instantiation/test_rule_033.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_033_test_input.fixed_remove.vhd"), lExpected_remove) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_034.py b/tests/instantiation/test_rule_034.py index baf853485..8cd76ef19 100644 --- a/tests/instantiation/test_rule_034.py +++ b/tests/instantiation/test_rule_034.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_034_test_input.vhd")) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_035.py b/tests/instantiation/test_rule_035.py index c578c0ff9..fe4b014a1 100644 --- a/tests/instantiation/test_rule_035.py +++ b/tests/instantiation/test_rule_035.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_035_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_300.py b/tests/instantiation/test_rule_300.py index be3d6af17..cfda5db9e 100644 --- a/tests/instantiation/test_rule_300.py +++ b/tests/instantiation/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_500.py b/tests/instantiation/test_rule_500.py index 8781949c9..41a770257 100644 --- a/tests/instantiation/test_rule_500.py +++ b/tests/instantiation/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_600.py b/tests/instantiation/test_rule_600.py index 503c01d76..9a5db730d 100644 --- a/tests/instantiation/test_rule_600.py +++ b/tests/instantiation/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/instantiation/test_rule_601.py b/tests/instantiation/test_rule_601.py index d7dbb9989..489c6508f 100644 --- a/tests/instantiation/test_rule_601.py +++ b/tests/instantiation/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/iteration_scheme/test_rule_100.py b/tests/iteration_scheme/test_rule_100.py index 5b3f98cc6..6e13c13fe 100644 --- a/tests/iteration_scheme/test_rule_100.py +++ b/tests/iteration_scheme/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_iteration_scheme_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/iteration_scheme/test_rule_101.py b/tests/iteration_scheme/test_rule_101.py index fe7e99e41..ca3fc18af 100644 --- a/tests/iteration_scheme/test_rule_101.py +++ b/tests/iteration_scheme/test_rule_101.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_iteration_scheme_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/iteration_scheme/test_rule_300.py b/tests/iteration_scheme/test_rule_300.py index 5a688cb65..ec007e3fa 100644 --- a/tests/iteration_scheme/test_rule_300.py +++ b/tests/iteration_scheme/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_iteration_scheme_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/iteration_scheme/test_rule_301.py b/tests/iteration_scheme/test_rule_301.py index 065fca3d7..e1b5b78bc 100644 --- a/tests/iteration_scheme/test_rule_301.py +++ b/tests/iteration_scheme/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected) -class test_iteration_scheme_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/iteration_scheme/test_rule_500.py b/tests/iteration_scheme/test_rule_500.py index 03bffe3d7..2b38f7c6a 100644 --- a/tests/iteration_scheme/test_rule_500.py +++ b/tests/iteration_scheme/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_iteration_scheme_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/iteration_scheme/test_rule_501.py b/tests/iteration_scheme/test_rule_501.py index a444625a0..2a06e7a7a 100644 --- a/tests/iteration_scheme/test_rule_501.py +++ b/tests/iteration_scheme/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_iteration_scheme_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/length/test_rule_001.py b/tests/length/test_rule_001.py index fc523e4c0..675ec4d2d 100644 --- a/tests/length/test_rule_001.py +++ b/tests/length/test_rule_001.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_001_test_input.vhd")) -class test_length_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/length/test_rule_002.py b/tests/length/test_rule_002.py index 16b731ffc..36ae97b4c 100644 --- a/tests/length/test_rule_002.py +++ b/tests/length/test_rule_002.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_002_test_input.vhd")) -class test_length_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/length/test_rule_003.py b/tests/length/test_rule_003.py index adb50a8eb..ae450f1e5 100644 --- a/tests/length/test_rule_003.py +++ b/tests/length/test_rule_003.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_003_test_input.vhd")) -class test_length_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_001.py b/tests/library/test_rule_001.py index 2fc2cf5de..1c517c5db 100644 --- a/tests/library/test_rule_001.py +++ b/tests/library/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_002.py b/tests/library/test_rule_002.py index 3c1810303..884ba2b28 100644 --- a/tests/library/test_rule_002.py +++ b/tests/library/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_003.py b/tests/library/test_rule_003.py index e3a212fcf..b2cecdc05 100644 --- a/tests/library/test_rule_003.py +++ b/tests/library/test_rule_003.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed_allow_library_clause.vhd"), lExpected_allow_library_clause) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_004.py b/tests/library/test_rule_004.py index cda2290ba..8630ced2e 100644 --- a/tests/library/test_rule_004.py +++ b/tests/library/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_005.py b/tests/library/test_rule_005.py index ec253186b..baae4f5e2 100644 --- a/tests/library/test_rule_005.py +++ b/tests/library/test_rule_005.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed_upper.vhd"), lExpected_upper) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_006.py b/tests/library/test_rule_006.py index 2a37ba6d9..eb2eef360 100644 --- a/tests/library/test_rule_006.py +++ b/tests/library/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_007.py b/tests/library/test_rule_007.py index 5cd66f290..3d9ae861f 100644 --- a/tests/library/test_rule_007.py +++ b/tests/library/test_rule_007.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_007_test_input.vhd")) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_008.py b/tests/library/test_rule_008.py index f92d2c0ad..054d7ad97 100644 --- a/tests/library/test_rule_008.py +++ b/tests/library/test_rule_008.py @@ -14,7 +14,7 @@ dIndentMap = utils.read_indent_file() -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_009.py b/tests/library/test_rule_009.py index 47c0669f6..8e99ce7ed 100644 --- a/tests/library/test_rule_009.py +++ b/tests/library/test_rule_009.py @@ -22,7 +22,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed_smart_tabs.vhd"), lExpected_smart_tabs) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_010.py b/tests/library/test_rule_010.py index a6c45e32c..a684e6bd7 100644 --- a/tests/library/test_rule_010.py +++ b/tests/library/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected, False) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_011.py b/tests/library/test_rule_011.py index 0554ed275..4bff68815 100644 --- a/tests/library/test_rule_011.py +++ b/tests/library/test_rule_011.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected, False) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/library/test_rule_500.py b/tests/library/test_rule_500.py index 1997c86d4..06d6114ba 100644 --- a/tests/library/test_rule_500.py +++ b/tests/library/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_library_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/logical_operator/test_rule_500.py b/tests/logical_operator/test_rule_500.py index bc86c309d..1ba59d3a8 100644 --- a/tests/logical_operator/test_rule_500.py +++ b/tests/logical_operator/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_logical_operator_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_001.py b/tests/loop_statement/test_rule_001.py index ce2124ed9..e22738648 100644 --- a/tests/loop_statement/test_rule_001.py +++ b/tests/loop_statement/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_002.py b/tests/loop_statement/test_rule_002.py index 3255023a1..ee8cfb6fb 100644 --- a/tests/loop_statement/test_rule_002.py +++ b/tests/loop_statement/test_rule_002.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_003.py b/tests/loop_statement/test_rule_003.py index 3863ee6a9..6c4520ae8 100644 --- a/tests/loop_statement/test_rule_003.py +++ b/tests/loop_statement/test_rule_003.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_004.py b/tests/loop_statement/test_rule_004.py index 6f5252a22..b971f438e 100644 --- a/tests/loop_statement/test_rule_004.py +++ b/tests/loop_statement/test_rule_004.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_005.py b/tests/loop_statement/test_rule_005.py index e7465e472..a9d7229a3 100644 --- a/tests/loop_statement/test_rule_005.py +++ b/tests/loop_statement/test_rule_005.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_006.py b/tests/loop_statement/test_rule_006.py index a9b380730..712f07199 100644 --- a/tests/loop_statement/test_rule_006.py +++ b/tests/loop_statement/test_rule_006.py @@ -14,7 +14,7 @@ dIndentMap = utils.read_indent_file() -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_007.py b/tests/loop_statement/test_rule_007.py index a509b4c80..a2b0b2117 100644 --- a/tests/loop_statement/test_rule_007.py +++ b/tests/loop_statement/test_rule_007.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_100.py b/tests/loop_statement/test_rule_100.py index 952e574cf..de77f5ed5 100644 --- a/tests/loop_statement/test_rule_100.py +++ b/tests/loop_statement/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_101.py b/tests/loop_statement/test_rule_101.py index df7c91a6a..843265d59 100644 --- a/tests/loop_statement/test_rule_101.py +++ b/tests/loop_statement/test_rule_101.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_102.py b/tests/loop_statement/test_rule_102.py index fb131cff1..8168095f3 100644 --- a/tests/loop_statement/test_rule_102.py +++ b/tests/loop_statement/test_rule_102.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_102_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_103.py b/tests/loop_statement/test_rule_103.py index 49a7e4152..e1d6cb6b6 100644 --- a/tests/loop_statement/test_rule_103.py +++ b/tests/loop_statement/test_rule_103.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_103_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_104.py b/tests/loop_statement/test_rule_104.py index a23058a57..ddcc3b08c 100644 --- a/tests/loop_statement/test_rule_104.py +++ b/tests/loop_statement/test_rule_104.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_104_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_200.py b/tests/loop_statement/test_rule_200.py index e534572c9..fc2a38ec1 100644 --- a/tests/loop_statement/test_rule_200.py +++ b/tests/loop_statement/test_rule_200.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_201.py b/tests/loop_statement/test_rule_201.py index 79893802c..df3d84c8a 100644 --- a/tests/loop_statement/test_rule_201.py +++ b/tests/loop_statement/test_rule_201.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_202.py b/tests/loop_statement/test_rule_202.py index 579040a30..85468656d 100644 --- a/tests/loop_statement/test_rule_202.py +++ b/tests/loop_statement/test_rule_202.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_202_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_203.py b/tests/loop_statement/test_rule_203.py index 5724f429a..b72000ea3 100644 --- a/tests/loop_statement/test_rule_203.py +++ b/tests/loop_statement/test_rule_203.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_203_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_300.py b/tests/loop_statement/test_rule_300.py index 8966336f1..606539edb 100644 --- a/tests/loop_statement/test_rule_300.py +++ b/tests/loop_statement/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_301.py b/tests/loop_statement/test_rule_301.py index 317ecd840..5b24ce1d4 100644 --- a/tests/loop_statement/test_rule_301.py +++ b/tests/loop_statement/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_302.py b/tests/loop_statement/test_rule_302.py index d551835b3..05ff9caff 100644 --- a/tests/loop_statement/test_rule_302.py +++ b/tests/loop_statement/test_rule_302.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_302_test_input.fixed.vhd"), lExpected) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_500.py b/tests/loop_statement/test_rule_500.py index ca5ee69c8..1c164add2 100644 --- a/tests/loop_statement/test_rule_500.py +++ b/tests/loop_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_501.py b/tests/loop_statement/test_rule_501.py index 6e3fa1621..d8fb7813d 100644 --- a/tests/loop_statement/test_rule_501.py +++ b/tests/loop_statement/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_502.py b/tests/loop_statement/test_rule_502.py index 9d19b5892..c7fee8fd0 100644 --- a/tests/loop_statement/test_rule_502.py +++ b/tests/loop_statement/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_503.py b/tests/loop_statement/test_rule_503.py index 651dc0bc6..66b8108a1 100644 --- a/tests/loop_statement/test_rule_503.py +++ b/tests/loop_statement/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_504.py b/tests/loop_statement/test_rule_504.py index 9f1487b81..1d78146a4 100644 --- a/tests/loop_statement/test_rule_504.py +++ b/tests/loop_statement/test_rule_504.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_504_test_input.fixed_upper.vhd"), lExpected_upper) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_600.py b/tests/loop_statement/test_rule_600.py index 367e98fdb..ffab7bd8c 100644 --- a/tests/loop_statement/test_rule_600.py +++ b/tests/loop_statement/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/loop_statement/test_rule_601.py b/tests/loop_statement/test_rule_601.py index 89bc05c65..f0d859c4f 100644 --- a/tests/loop_statement/test_rule_601.py +++ b/tests/loop_statement/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_loop_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/next_statement/test_rule_300.py b/tests/next_statement/test_rule_300.py index 8901c3956..cfe3f0bb2 100644 --- a/tests/next_statement/test_rule_300.py +++ b/tests/next_statement/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_next_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/next_statement/test_rule_301.py b/tests/next_statement/test_rule_301.py index 914278649..22016d8a7 100644 --- a/tests/next_statement/test_rule_301.py +++ b/tests/next_statement/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected) -class test_return_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/next_statement/test_rule_500.py b/tests/next_statement/test_rule_500.py index 2024de16d..a5f9d8c0e 100644 --- a/tests/next_statement/test_rule_500.py +++ b/tests/next_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_next_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/next_statement/test_rule_501.py b/tests/next_statement/test_rule_501.py index 8b055e3e9..5e817d492 100644 --- a/tests/next_statement/test_rule_501.py +++ b/tests/next_statement/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_next_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/null_statement/test_rule_300.py b/tests/null_statement/test_rule_300.py index 661e601cb..dc39bf7b2 100644 --- a/tests/null_statement/test_rule_300.py +++ b/tests/null_statement/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_null_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/null_statement/test_rule_301.py b/tests/null_statement/test_rule_301.py index 661e601cb..dc39bf7b2 100644 --- a/tests/null_statement/test_rule_301.py +++ b/tests/null_statement/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_null_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/null_statement/test_rule_500.py b/tests/null_statement/test_rule_500.py index 1db2680a7..b4ac4fffc 100644 --- a/tests/null_statement/test_rule_500.py +++ b/tests/null_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_null_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_001.py b/tests/package/test_rule_001.py index 232c2bbfb..57e82fd01 100644 --- a/tests/package/test_rule_001.py +++ b/tests/package/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_002.py b/tests/package/test_rule_002.py index ccf526b06..048dbb0f3 100644 --- a/tests/package/test_rule_002.py +++ b/tests/package/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_003.py b/tests/package/test_rule_003.py index 5adf94be4..aed97d27e 100644 --- a/tests/package/test_rule_003.py +++ b/tests/package/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_004.py b/tests/package/test_rule_004.py index 11b5e685c..1326da422 100644 --- a/tests/package/test_rule_004.py +++ b/tests/package/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_005.py b/tests/package/test_rule_005.py index 6a3d1f140..e07884b07 100644 --- a/tests/package/test_rule_005.py +++ b/tests/package/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_006.py b/tests/package/test_rule_006.py index 990685720..9d7ec2615 100644 --- a/tests/package/test_rule_006.py +++ b/tests/package/test_rule_006.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_007.py b/tests/package/test_rule_007.py index 41b819fdc..26f2b55bc 100644 --- a/tests/package/test_rule_007.py +++ b/tests/package/test_rule_007.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed_remove.vhd"), lExpected_remove) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_008.py b/tests/package/test_rule_008.py index 40d09fc79..95f5a4875 100644 --- a/tests/package/test_rule_008.py +++ b/tests/package/test_rule_008.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_009.py b/tests/package/test_rule_009.py index c7b9a8e1d..3a332a58d 100644 --- a/tests/package/test_rule_009.py +++ b/tests/package/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_010.py b/tests/package/test_rule_010.py index 81ef50e1b..0cc0b458b 100644 --- a/tests/package/test_rule_010.py +++ b/tests/package/test_rule_010.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_011.py b/tests/package/test_rule_011.py index 6f73de964..cbf96b3a5 100644 --- a/tests/package/test_rule_011.py +++ b/tests/package/test_rule_011.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_012.py b/tests/package/test_rule_012.py index 18cca032e..3a1c83d89 100644 --- a/tests/package/test_rule_012.py +++ b/tests/package/test_rule_012.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_013.py b/tests/package/test_rule_013.py index 1b4b4631a..63b3de0df 100644 --- a/tests/package/test_rule_013.py +++ b/tests/package/test_rule_013.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_014.py b/tests/package/test_rule_014.py index c09bb44a0..b1a7572c6 100644 --- a/tests/package/test_rule_014.py +++ b/tests/package/test_rule_014.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed_remove.vhd"), lExpected_remove) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_015.py b/tests/package/test_rule_015.py index 5c99ba2e2..6cd6a1cac 100644 --- a/tests/package/test_rule_015.py +++ b/tests/package/test_rule_015.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_016.py b/tests/package/test_rule_016.py index 8cc0fd45c..1d5bb0c1b 100644 --- a/tests/package/test_rule_016.py +++ b/tests/package/test_rule_016.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_016_test_input.vhd")) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_017.py b/tests/package/test_rule_017.py index 7d5d8a3fc..2b2444b15 100644 --- a/tests/package/test_rule_017.py +++ b/tests/package/test_rule_017.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_017_test_input.vhd")) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_018.py b/tests/package/test_rule_018.py index 89be1477c..3eb2e795b 100644 --- a/tests/package/test_rule_018.py +++ b/tests/package/test_rule_018.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_018_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_019.py b/tests/package/test_rule_019.py index 10b6bd97e..1eb1f4998 100644 --- a/tests/package/test_rule_019.py +++ b/tests/package/test_rule_019.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_400.py b/tests/package/test_rule_400.py index 48d286351..4f7b425a4 100644 --- a/tests/package/test_rule_400.py +++ b/tests/package/test_rule_400.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_401.py b/tests/package/test_rule_401.py index 7789e1a28..559dc9de5 100644 --- a/tests/package/test_rule_401.py +++ b/tests/package/test_rule_401.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected, bStrip=False) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package/test_rule_402.py b/tests/package/test_rule_402.py index 630dd908e..3e43d17d5 100644 --- a/tests/package/test_rule_402.py +++ b/tests/package/test_rule_402.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_402_test_input.fixed.vhd"), lExpected, bStrip=False) -class test_package_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_001.py b/tests/package_body/test_rule_001.py index 08667665c..2ad539ae0 100644 --- a/tests/package_body/test_rule_001.py +++ b/tests/package_body/test_rule_001.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_002.py b/tests/package_body/test_rule_002.py index 04730dd76..d7d0e5d5d 100644 --- a/tests/package_body/test_rule_002.py +++ b/tests/package_body/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_remove.vhd"), lExpected_remove) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_003.py b/tests/package_body/test_rule_003.py index dbe4a0147..f4d487955 100644 --- a/tests/package_body/test_rule_003.py +++ b/tests/package_body/test_rule_003.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed_remove.vhd"), lExpected_remove) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_100.py b/tests/package_body/test_rule_100.py index fade747a8..c650081b1 100644 --- a/tests/package_body/test_rule_100.py +++ b/tests/package_body/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_101.py b/tests/package_body/test_rule_101.py index 08508bab5..4cba45d03 100644 --- a/tests/package_body/test_rule_101.py +++ b/tests/package_body/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_200.py b/tests/package_body/test_rule_200.py index 7d01b0250..9a76c2137 100644 --- a/tests/package_body/test_rule_200.py +++ b/tests/package_body/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_201.py b/tests/package_body/test_rule_201.py index fae0c64ba..382907a25 100644 --- a/tests/package_body/test_rule_201.py +++ b/tests/package_body/test_rule_201.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_202.py b/tests/package_body/test_rule_202.py index e51bb2856..dbaadce46 100644 --- a/tests/package_body/test_rule_202.py +++ b/tests/package_body/test_rule_202.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_202_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_203.py b/tests/package_body/test_rule_203.py index 3f8835706..ab430d860 100644 --- a/tests/package_body/test_rule_203.py +++ b/tests/package_body/test_rule_203.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_203_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_300.py b/tests/package_body/test_rule_300.py index 34e6f0daf..a448c0a65 100644 --- a/tests/package_body/test_rule_300.py +++ b/tests/package_body/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_301.py b/tests/package_body/test_rule_301.py index 9df92840c..dfc35d46c 100644 --- a/tests/package_body/test_rule_301.py +++ b/tests/package_body/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_400.py b/tests/package_body/test_rule_400.py index a8f396dcd..e8935e432 100644 --- a/tests/package_body/test_rule_400.py +++ b/tests/package_body/test_rule_400.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_401.py b/tests/package_body/test_rule_401.py index 2698845ba..1e6da595c 100644 --- a/tests/package_body/test_rule_401.py +++ b/tests/package_body/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_402.py b/tests/package_body/test_rule_402.py index 135ef339d..95bbb67b8 100644 --- a/tests/package_body/test_rule_402.py +++ b/tests/package_body/test_rule_402.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_402_test_input.fixed.vhd"), lExpected) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_500.py b/tests/package_body/test_rule_500.py index 4efe50ef3..8fb95c6ad 100644 --- a/tests/package_body/test_rule_500.py +++ b/tests/package_body/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_501.py b/tests/package_body/test_rule_501.py index a06d6fdd9..2c8cac7a7 100644 --- a/tests/package_body/test_rule_501.py +++ b/tests/package_body/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_502.py b/tests/package_body/test_rule_502.py index e19569379..5510b064f 100644 --- a/tests/package_body/test_rule_502.py +++ b/tests/package_body/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_503.py b/tests/package_body/test_rule_503.py index 1322f7706..b15fd9cf4 100644 --- a/tests/package_body/test_rule_503.py +++ b/tests/package_body/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_504.py b/tests/package_body/test_rule_504.py index 2a540bc72..fadb5cb30 100644 --- a/tests/package_body/test_rule_504.py +++ b/tests/package_body/test_rule_504.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_504_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_505.py b/tests/package_body/test_rule_505.py index 9b3b2dee0..0011f9775 100644 --- a/tests/package_body/test_rule_505.py +++ b/tests/package_body/test_rule_505.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_505_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_506.py b/tests/package_body/test_rule_506.py index 7058cc687..99e0670b9 100644 --- a/tests/package_body/test_rule_506.py +++ b/tests/package_body/test_rule_506.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_506_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_507.py b/tests/package_body/test_rule_507.py index 5e69d93e1..d3b8486bb 100644 --- a/tests/package_body/test_rule_507.py +++ b/tests/package_body/test_rule_507.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_507_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_600.py b/tests/package_body/test_rule_600.py index f967a331b..ca33fe381 100644 --- a/tests/package_body/test_rule_600.py +++ b/tests/package_body/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_body/test_rule_601.py b/tests/package_body/test_rule_601.py index b1ff0b66d..8a7fa7348 100644 --- a/tests/package_body/test_rule_601.py +++ b/tests/package_body/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_package_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_001.py b/tests/package_instantiation/test_rule_001.py index 189f54d7a..47f84a13c 100644 --- a/tests/package_instantiation/test_rule_001.py +++ b/tests/package_instantiation/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_002.py b/tests/package_instantiation/test_rule_002.py index 2b88f19af..e756b2400 100644 --- a/tests/package_instantiation/test_rule_002.py +++ b/tests/package_instantiation/test_rule_002.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_003.py b/tests/package_instantiation/test_rule_003.py index dac80fd34..50c1b6f41 100644 --- a/tests/package_instantiation/test_rule_003.py +++ b/tests/package_instantiation/test_rule_003.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_004.py b/tests/package_instantiation/test_rule_004.py index 21109469c..1c695e837 100644 --- a/tests/package_instantiation/test_rule_004.py +++ b/tests/package_instantiation/test_rule_004.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_100.py b/tests/package_instantiation/test_rule_100.py index 86ed65f58..1371928af 100644 --- a/tests/package_instantiation/test_rule_100.py +++ b/tests/package_instantiation/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_101.py b/tests/package_instantiation/test_rule_101.py index e9cb7aeff..afae05c6d 100644 --- a/tests/package_instantiation/test_rule_101.py +++ b/tests/package_instantiation/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_102.py b/tests/package_instantiation/test_rule_102.py index 2c69b625d..672931ce5 100644 --- a/tests/package_instantiation/test_rule_102.py +++ b/tests/package_instantiation/test_rule_102.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_102_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_103.py b/tests/package_instantiation/test_rule_103.py index ea1bac9c4..8cc83f0d6 100644 --- a/tests/package_instantiation/test_rule_103.py +++ b/tests/package_instantiation/test_rule_103.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_103_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_200.py b/tests/package_instantiation/test_rule_200.py index 1f887c31e..2da48902a 100644 --- a/tests/package_instantiation/test_rule_200.py +++ b/tests/package_instantiation/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_201.py b/tests/package_instantiation/test_rule_201.py index 748bd1842..819ad3153 100644 --- a/tests/package_instantiation/test_rule_201.py +++ b/tests/package_instantiation/test_rule_201.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_300.py b/tests/package_instantiation/test_rule_300.py index a14e7de98..09c7a5a82 100644 --- a/tests/package_instantiation/test_rule_300.py +++ b/tests/package_instantiation/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_500.py b/tests/package_instantiation/test_rule_500.py index 480b82803..b51c734f3 100644 --- a/tests/package_instantiation/test_rule_500.py +++ b/tests/package_instantiation/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_501.py b/tests/package_instantiation/test_rule_501.py index 297deb9e9..de31d740b 100644 --- a/tests/package_instantiation/test_rule_501.py +++ b/tests/package_instantiation/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_502.py b/tests/package_instantiation/test_rule_502.py index 7eb706898..2e5d798e2 100644 --- a/tests/package_instantiation/test_rule_502.py +++ b/tests/package_instantiation/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_503.py b/tests/package_instantiation/test_rule_503.py index 1e707057a..25b1f624f 100644 --- a/tests/package_instantiation/test_rule_503.py +++ b/tests/package_instantiation/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_504.py b/tests/package_instantiation/test_rule_504.py index 6e58e26b1..e0e026b80 100644 --- a/tests/package_instantiation/test_rule_504.py +++ b/tests/package_instantiation/test_rule_504.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_504_test_input.fixed_upper.vhd"), lExpected_upper) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_600.py b/tests/package_instantiation/test_rule_600.py index 36340416f..bcdea9a7e 100644 --- a/tests/package_instantiation/test_rule_600.py +++ b/tests/package_instantiation/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/package_instantiation/test_rule_601.py b/tests/package_instantiation/test_rule_601.py index f1ff34ce1..909146080 100644 --- a/tests/package_instantiation/test_rule_601.py +++ b/tests/package_instantiation/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_package_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/parameter_specification/test_rule_500.py b/tests/parameter_specification/test_rule_500.py index ae64649b0..ad1699cdb 100644 --- a/tests/parameter_specification/test_rule_500.py +++ b/tests/parameter_specification/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_iteration_scheme_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_001.py b/tests/port/test_rule_001.py index 030d59fb0..704ec2a30 100644 --- a/tests/port/test_rule_001.py +++ b/tests/port/test_rule_001.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_002.py b/tests/port/test_rule_002.py index 961047539..605449b93 100644 --- a/tests/port/test_rule_002.py +++ b/tests/port/test_rule_002.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_003.py b/tests/port/test_rule_003.py index dcaffcf2f..306e4cf47 100644 --- a/tests/port/test_rule_003.py +++ b/tests/port/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_004.py b/tests/port/test_rule_004.py index 2d9d6e48e..ea5cd1af5 100644 --- a/tests/port/test_rule_004.py +++ b/tests/port/test_rule_004.py @@ -22,7 +22,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_smart_tabs.vhd"), lExpected_smart_tabs) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_007.py b/tests/port/test_rule_007.py index 1d9e87afb..29296838b 100644 --- a/tests/port/test_rule_007.py +++ b/tests/port/test_rule_007.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed_before_0_after_1.vhd"), lExpected_before_0_after_1) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_008.py b/tests/port/test_rule_008.py index 790171ce7..21a1a76c4 100644 --- a/tests/port/test_rule_008.py +++ b/tests/port/test_rule_008.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_009.py b/tests/port/test_rule_009.py index d775e318b..69cff376a 100644 --- a/tests/port/test_rule_009.py +++ b/tests/port/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_010.py b/tests/port/test_rule_010.py index 437ae9fca..b68a5de66 100644 --- a/tests/port/test_rule_010.py +++ b/tests/port/test_rule_010.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed_upper.vhd"), lExpected_upper) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_011.py b/tests/port/test_rule_011.py index 34bfc3a99..d70e6c64a 100644 --- a/tests/port/test_rule_011.py +++ b/tests/port/test_rule_011.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_011_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_012.py b/tests/port/test_rule_012.py index 97aeb3390..64ee7ea18 100644 --- a/tests/port/test_rule_012.py +++ b/tests/port/test_rule_012.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_013.py b/tests/port/test_rule_013.py index c132214e0..d0f83800e 100644 --- a/tests/port/test_rule_013.py +++ b/tests/port/test_rule_013.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_014.py b/tests/port/test_rule_014.py index 2ebe5b631..4a87a2003 100644 --- a/tests/port/test_rule_014.py +++ b/tests/port/test_rule_014.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed_move_left.vhd"), lExpected_move_left) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_015.py b/tests/port/test_rule_015.py index 0f9366ed8..3d601b519 100644 --- a/tests/port/test_rule_015.py +++ b/tests/port/test_rule_015.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_016.py b/tests/port/test_rule_016.py index e3d4c4fd0..5d1836754 100644 --- a/tests/port/test_rule_016.py +++ b/tests/port/test_rule_016.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_017.py b/tests/port/test_rule_017.py index b630a6f9d..d3d8d0337 100644 --- a/tests/port/test_rule_017.py +++ b/tests/port/test_rule_017.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_017_test_input.fixed_upper.vhd"), lExpected_upper) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_019.py b/tests/port/test_rule_019.py index 640dec43f..1a949231f 100644 --- a/tests/port/test_rule_019.py +++ b/tests/port/test_rule_019.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed_upper.vhd"), lExpected_upper) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_020.py b/tests/port/test_rule_020.py index fea8e64ec..8e2d071e1 100644 --- a/tests/port/test_rule_020.py +++ b/tests/port/test_rule_020.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_020_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_021.py b/tests/port/test_rule_021.py index b103ddfc6..7c6173076 100644 --- a/tests/port/test_rule_021.py +++ b/tests/port/test_rule_021.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed.vhd"), lExpected, False) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_022.py b/tests/port/test_rule_022.py index a4bb31b8e..134ab686a 100644 --- a/tests/port/test_rule_022.py +++ b/tests/port/test_rule_022.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_022_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_023.py b/tests/port/test_rule_023.py index 61d75e1ba..5d41dbe59 100644 --- a/tests/port/test_rule_023.py +++ b/tests/port/test_rule_023.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_023_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_024.py b/tests/port/test_rule_024.py index a66b9f7a5..8aff7bc7d 100644 --- a/tests/port/test_rule_024.py +++ b/tests/port/test_rule_024.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_024_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_025.py b/tests/port/test_rule_025.py index feecbe1b8..9712c46d8 100644 --- a/tests/port/test_rule_025.py +++ b/tests/port/test_rule_025.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_025_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_026.py b/tests/port/test_rule_026.py index 2dbf297e7..0d3fa33ee 100644 --- a/tests/port/test_rule_026.py +++ b/tests/port/test_rule_026.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_026_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_027.py b/tests/port/test_rule_027.py index cef06e92c..bafb9a195 100644 --- a/tests/port/test_rule_027.py +++ b/tests/port/test_rule_027.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_027_test_input.fixed.vhd"), lExpected) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_600.py b/tests/port/test_rule_600.py index 57ed5e686..f0eaa8a10 100644 --- a/tests/port/test_rule_600.py +++ b/tests/port/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_601.py b/tests/port/test_rule_601.py index 8b34cc69b..a110a5deb 100644 --- a/tests/port/test_rule_601.py +++ b/tests/port/test_rule_601.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_601_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_602.py b/tests/port/test_rule_602.py index d17e73abe..6e901ac49 100644 --- a/tests/port/test_rule_602.py +++ b/tests/port/test_rule_602.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_602_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_603.py b/tests/port/test_rule_603.py index d0c3c4858..3c42762d8 100644 --- a/tests/port/test_rule_603.py +++ b/tests/port/test_rule_603.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_603_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_604.py b/tests/port/test_rule_604.py index be179b0a4..1148be31c 100644 --- a/tests/port/test_rule_604.py +++ b/tests/port/test_rule_604.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_604_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_605.py b/tests/port/test_rule_605.py index 010ef9151..c2a515860 100644 --- a/tests/port/test_rule_605.py +++ b/tests/port/test_rule_605.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_605_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_606.py b/tests/port/test_rule_606.py index 604269a1a..a56adbccf 100644 --- a/tests/port/test_rule_606.py +++ b/tests/port/test_rule_606.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_606_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_607.py b/tests/port/test_rule_607.py index f32de0121..2c3dc5791 100644 --- a/tests/port/test_rule_607.py +++ b/tests/port/test_rule_607.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_607_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_608.py b/tests/port/test_rule_608.py index 583895550..fa7630dbe 100644 --- a/tests/port/test_rule_608.py +++ b/tests/port/test_rule_608.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_608_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port/test_rule_609.py b/tests/port/test_rule_609.py index 8ceda32b3..3bc8ff2ae 100644 --- a/tests/port/test_rule_609.py +++ b/tests/port/test_rule_609.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_609_test_input.vhd")) -class test_port_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_001.py b/tests/port_map/test_rule_001.py index 361ecf383..8a0434f63 100644 --- a/tests/port_map/test_rule_001.py +++ b/tests/port_map/test_rule_001.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed_upper.vhd"), lExpected_upper) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_002.py b/tests/port_map/test_rule_002.py index 97d0aae4d..4263050df 100644 --- a/tests/port_map/test_rule_002.py +++ b/tests/port_map/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_upper.vhd"), lExpected_upper) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_003.py b/tests/port_map/test_rule_003.py index 1c7440853..74ca0949b 100644 --- a/tests/port_map/test_rule_003.py +++ b/tests/port_map/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected, False) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_004.py b/tests/port_map/test_rule_004.py index bdc3af003..65325efae 100644 --- a/tests/port_map/test_rule_004.py +++ b/tests/port_map/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_same_line.vhd"), lExpected_same_line, True) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_005.py b/tests/port_map/test_rule_005.py index 534babd37..aaf14d48f 100644 --- a/tests/port_map/test_rule_005.py +++ b/tests/port_map/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_006.py b/tests/port_map/test_rule_006.py index 87a707d5f..a415634bb 100644 --- a/tests/port_map/test_rule_006.py +++ b/tests/port_map/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_007.py b/tests/port_map/test_rule_007.py index 3cd8a26e0..d3d8de80e 100644 --- a/tests/port_map/test_rule_007.py +++ b/tests/port_map/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_008.py b/tests/port_map/test_rule_008.py index 556c2050d..5ddd703eb 100644 --- a/tests/port_map/test_rule_008.py +++ b/tests/port_map/test_rule_008.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_008_test_input.vhd")) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_009.py b/tests/port_map/test_rule_009.py index 52cf8b968..fd9d2ebef 100644 --- a/tests/port_map/test_rule_009.py +++ b/tests/port_map/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected, False) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_010.py b/tests/port_map/test_rule_010.py index 5954c421e..6dd396b48 100644 --- a/tests/port_map/test_rule_010.py +++ b/tests/port_map/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_011.py b/tests/port_map/test_rule_011.py index f1466fbd5..4805a7fe9 100644 --- a/tests/port_map/test_rule_011.py +++ b/tests/port_map/test_rule_011.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected, False) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_101.py b/tests/port_map/test_rule_101.py index 1b0994490..f4bc7b694 100644 --- a/tests/port_map/test_rule_101.py +++ b/tests/port_map/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_200.py b/tests/port_map/test_rule_200.py index a98d39a66..b8ee1de9b 100644 --- a/tests/port_map/test_rule_200.py +++ b/tests/port_map/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_300.py b/tests/port_map/test_rule_300.py index 831daf79c..8fee52c5f 100644 --- a/tests/port_map/test_rule_300.py +++ b/tests/port_map/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_301.py b/tests/port_map/test_rule_301.py index 6f1415ba4..306434b98 100644 --- a/tests/port_map/test_rule_301.py +++ b/tests/port_map/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/port_map/test_rule_302.py b/tests/port_map/test_rule_302.py index 3e18984e3..a13b47e7c 100644 --- a/tests/port_map/test_rule_302.py +++ b/tests/port_map/test_rule_302.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_302_test_input.fixed.vhd"), lExpected) -class test_port_map_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_001.py b/tests/procedure/test_rule_001.py index 6b4878a96..c01abe603 100644 --- a/tests/procedure/test_rule_001.py +++ b/tests/procedure/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_002.py b/tests/procedure/test_rule_002.py index 28f598636..f7fad6e5a 100644 --- a/tests/procedure/test_rule_002.py +++ b/tests/procedure/test_rule_002.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_003.py b/tests/procedure/test_rule_003.py index b674c4785..a29a43b76 100644 --- a/tests/procedure/test_rule_003.py +++ b/tests/procedure/test_rule_003.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_004.py b/tests/procedure/test_rule_004.py index 8c2e4591f..f5b9edd87 100644 --- a/tests/procedure/test_rule_004.py +++ b/tests/procedure/test_rule_004.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_005.py b/tests/procedure/test_rule_005.py index 1db1a183a..c294d03b0 100644 --- a/tests/procedure/test_rule_005.py +++ b/tests/procedure/test_rule_005.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_006.py b/tests/procedure/test_rule_006.py index c164fdaec..56d686825 100644 --- a/tests/procedure/test_rule_006.py +++ b/tests/procedure/test_rule_006.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_008.py b/tests/procedure/test_rule_008.py index 9f30a024a..f1c68f906 100644 --- a/tests/procedure/test_rule_008.py +++ b/tests/procedure/test_rule_008.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_010.py b/tests/procedure/test_rule_010.py index fc3f0c69d..a743be77a 100644 --- a/tests/procedure/test_rule_010.py +++ b/tests/procedure/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_012.py b/tests/procedure/test_rule_012.py index 446af8483..f37abe854 100644 --- a/tests/procedure/test_rule_012.py +++ b/tests/procedure/test_rule_012.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_012_test_input.vhd")) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_014.py b/tests/procedure/test_rule_014.py index e567b5ee6..1be78f604 100644 --- a/tests/procedure/test_rule_014.py +++ b/tests/procedure/test_rule_014.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_014_test_input.vhd")) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_100.py b/tests/procedure/test_rule_100.py index c7e01ce81..9b8e175df 100644 --- a/tests/procedure/test_rule_100.py +++ b/tests/procedure/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_101.py b/tests/procedure/test_rule_101.py index f4ffb0839..336e4fe29 100644 --- a/tests/procedure/test_rule_101.py +++ b/tests/procedure/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_200.py b/tests/procedure/test_rule_200.py index 67da3d6f9..2d475c703 100644 --- a/tests/procedure/test_rule_200.py +++ b/tests/procedure/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected, False) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_401.py b/tests/procedure/test_rule_401.py index 0451b493c..57dd20a7d 100644 --- a/tests/procedure/test_rule_401.py +++ b/tests/procedure/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected, False) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_410.py b/tests/procedure/test_rule_410.py index 0283acbf5..b1b6fa937 100644 --- a/tests/procedure/test_rule_410.py +++ b/tests/procedure/test_rule_410.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_410_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_411.py b/tests/procedure/test_rule_411.py index 856c639f6..d88d1d2ea 100644 --- a/tests/procedure/test_rule_411.py +++ b/tests/procedure/test_rule_411.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_411_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_500.py b/tests/procedure/test_rule_500.py index 16a71ef79..b62ea3a10 100644 --- a/tests/procedure/test_rule_500.py +++ b/tests/procedure/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_501.py b/tests/procedure/test_rule_501.py index 1e3ea18c4..813cd34a0 100644 --- a/tests/procedure/test_rule_501.py +++ b/tests/procedure/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_502.py b/tests/procedure/test_rule_502.py index 7e1153149..e0ce2fe3a 100644 --- a/tests/procedure/test_rule_502.py +++ b/tests/procedure/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_503.py b/tests/procedure/test_rule_503.py index c180c6f09..449f42c13 100644 --- a/tests/procedure/test_rule_503.py +++ b/tests/procedure/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_504.py b/tests/procedure/test_rule_504.py index f8ef29bb2..a35025f2a 100644 --- a/tests/procedure/test_rule_504.py +++ b/tests/procedure/test_rule_504.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_504_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_506.py b/tests/procedure/test_rule_506.py index 10bffe754..3a533a54b 100644 --- a/tests/procedure/test_rule_506.py +++ b/tests/procedure/test_rule_506.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_506_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_508.py b/tests/procedure/test_rule_508.py index 1589dd94a..2aed8500f 100644 --- a/tests/procedure/test_rule_508.py +++ b/tests/procedure/test_rule_508.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_508_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_509.py b/tests/procedure/test_rule_509.py index 67441cea0..65c9241a5 100644 --- a/tests/procedure/test_rule_509.py +++ b/tests/procedure/test_rule_509.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_509_test_input.fixed.vhd"), lExpected) -class test_procedure_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_510.py b/tests/procedure/test_rule_510.py index 920b005ae..6487ca42d 100644 --- a/tests/procedure/test_rule_510.py +++ b/tests/procedure/test_rule_510.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_510_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure/test_rule_511.py b/tests/procedure/test_rule_511.py index 8ffdeda84..a89e6e788 100644 --- a/tests/procedure/test_rule_511.py +++ b/tests/procedure/test_rule_511.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_511_test_input.fixed_upper.vhd"), lExpected_upper) -class test_function_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_001.py b/tests/procedure_call/test_rule_001.py index a50b2a560..8d1a1c362 100644 --- a/tests/procedure_call/test_rule_001.py +++ b/tests/procedure_call/test_rule_001.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_002.py b/tests/procedure_call/test_rule_002.py index 0d88f3961..2852fe562 100644 --- a/tests/procedure_call/test_rule_002.py +++ b/tests/procedure_call/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_100.py b/tests/procedure_call/test_rule_100.py index 4923344e0..e3d590e7d 100644 --- a/tests/procedure_call/test_rule_100.py +++ b/tests/procedure_call/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_101.py b/tests/procedure_call/test_rule_101.py index 5a1eb0e17..f50eedf65 100644 --- a/tests/procedure_call/test_rule_101.py +++ b/tests/procedure_call/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_300.py b/tests/procedure_call/test_rule_300.py index 058a87008..e73fca86c 100644 --- a/tests/procedure_call/test_rule_300.py +++ b/tests/procedure_call/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_301.py b/tests/procedure_call/test_rule_301.py index e5fb60bd7..0c6285ceb 100644 --- a/tests/procedure_call/test_rule_301.py +++ b/tests/procedure_call/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_302.py b/tests/procedure_call/test_rule_302.py index 55bc4fe70..5e1ea2111 100644 --- a/tests/procedure_call/test_rule_302.py +++ b/tests/procedure_call/test_rule_302.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_302_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_400.py b/tests/procedure_call/test_rule_400.py index 70538f4bf..d7d7865e9 100644 --- a/tests/procedure_call/test_rule_400.py +++ b/tests/procedure_call/test_rule_400.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_401.py b/tests/procedure_call/test_rule_401.py index 4cba0cce0..1702ed8f4 100644 --- a/tests/procedure_call/test_rule_401.py +++ b/tests/procedure_call/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected, False) -class test_procedure_call_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_500.py b/tests/procedure_call/test_rule_500.py index 864d179d3..623168514 100644 --- a/tests/procedure_call/test_rule_500.py +++ b/tests/procedure_call/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_call_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_501.py b/tests/procedure_call/test_rule_501.py index 800c19752..398c813dd 100644 --- a/tests/procedure_call/test_rule_501.py +++ b/tests/procedure_call/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_call_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/procedure_call/test_rule_502.py b/tests/procedure_call/test_rule_502.py index 635d80464..3cd8f2194 100644 --- a/tests/procedure_call/test_rule_502.py +++ b/tests/procedure_call/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_procedure_call_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_001.py b/tests/process/test_rule_001.py index d46b29daa..0c2596ca4 100644 --- a/tests/process/test_rule_001.py +++ b/tests/process/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_002.py b/tests/process/test_rule_002.py index 46a6f6cc0..e87f202dc 100644 --- a/tests/process/test_rule_002.py +++ b/tests/process/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_003.py b/tests/process/test_rule_003.py index 02bfdbe77..48d70764f 100644 --- a/tests/process/test_rule_003.py +++ b/tests/process/test_rule_003.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_004.py b/tests/process/test_rule_004.py index f4b9443ed..98d8843a6 100644 --- a/tests/process/test_rule_004.py +++ b/tests/process/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_005.py b/tests/process/test_rule_005.py index 3b070a852..3575d3bd7 100644 --- a/tests/process/test_rule_005.py +++ b/tests/process/test_rule_005.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed_upper.vhd"), lExpected_upper) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_006.py b/tests/process/test_rule_006.py index 730066558..ae92b9aa7 100644 --- a/tests/process/test_rule_006.py +++ b/tests/process/test_rule_006.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_007.py b/tests/process/test_rule_007.py index 1cf98ef7f..85c0d9078 100644 --- a/tests/process/test_rule_007.py +++ b/tests/process/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_008.py b/tests/process/test_rule_008.py index 5182baa70..e69b5144b 100644 --- a/tests/process/test_rule_008.py +++ b/tests/process/test_rule_008.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed_upper.vhd"), lExpected_upper) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_009.py b/tests/process/test_rule_009.py index 9e3ff82b6..2bc132555 100644 --- a/tests/process/test_rule_009.py +++ b/tests/process/test_rule_009.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed_upper.vhd"), lExpected_upper) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_010.py b/tests/process/test_rule_010.py index 717781915..eabf5b2f9 100644 --- a/tests/process/test_rule_010.py +++ b/tests/process/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected, False) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_011.py b/tests/process/test_rule_011.py index 2a11939d6..48a3b9fbb 100644 --- a/tests/process/test_rule_011.py +++ b/tests/process/test_rule_011.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected, False) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_012.py b/tests/process/test_rule_012.py index 8f3be0cf2..bd94e6b85 100644 --- a/tests/process/test_rule_012.py +++ b/tests/process/test_rule_012.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed_remove.vhd"), lExpected_remove) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_013.py b/tests/process/test_rule_013.py index 265763241..99955360e 100644 --- a/tests/process/test_rule_013.py +++ b/tests/process/test_rule_013.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed_upper.vhd"), lExpected_upper) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_014.py b/tests/process/test_rule_014.py index 7cf488869..60c849ac6 100644 --- a/tests/process/test_rule_014.py +++ b/tests/process/test_rule_014.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_014_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_015.py b/tests/process/test_rule_015.py index 5bf9f3248..e692bfdb8 100644 --- a/tests/process/test_rule_015.py +++ b/tests/process/test_rule_015.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed.vhd"), lExpected, False) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_016.py b/tests/process/test_rule_016.py index 281a4451a..448a8f833 100644 --- a/tests/process/test_rule_016.py +++ b/tests/process/test_rule_016.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_016_test_input.vhd")) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_017.py b/tests/process/test_rule_017.py index 6998f943f..4fa8308db 100644 --- a/tests/process/test_rule_017.py +++ b/tests/process/test_rule_017.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_017_test_input.fixed_upper.vhd"), lExpected_upper) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_018.py b/tests/process/test_rule_018.py index 1d0d594d2..c4a3d04b8 100644 --- a/tests/process/test_rule_018.py +++ b/tests/process/test_rule_018.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_018_test_input.fixed_remove.vhd"), lExpected_remove) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_019.py b/tests/process/test_rule_019.py index c83a200cd..8b6819406 100644 --- a/tests/process/test_rule_019.py +++ b/tests/process/test_rule_019.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_019_test_input.fixed_upper.vhd"), lExpected_upper) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_020.py b/tests/process/test_rule_020.py index beabae539..916c8b110 100644 --- a/tests/process/test_rule_020.py +++ b/tests/process/test_rule_020.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_020_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_021.py b/tests/process/test_rule_021.py index eea5e250e..1dddfe554 100644 --- a/tests/process/test_rule_021.py +++ b/tests/process/test_rule_021.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_021_test_input.fixed_require_blank.vhd"), lExpected_require_blank) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_022.py b/tests/process/test_rule_022.py index 955437370..10a728878 100644 --- a/tests/process/test_rule_022.py +++ b/tests/process/test_rule_022.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_022_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_023.py b/tests/process/test_rule_023.py index 9c9e9ad2a..08ee62395 100644 --- a/tests/process/test_rule_023.py +++ b/tests/process/test_rule_023.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_023_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_024.py b/tests/process/test_rule_024.py index 928ccce5e..00510d710 100644 --- a/tests/process/test_rule_024.py +++ b/tests/process/test_rule_024.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_024_test_input.vhd")) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_025.py b/tests/process/test_rule_025.py index f0e02b974..d29f85295 100644 --- a/tests/process/test_rule_025.py +++ b/tests/process/test_rule_025.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_025_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_026.py b/tests/process/test_rule_026.py index e1035b583..b034e7207 100644 --- a/tests/process/test_rule_026.py +++ b/tests/process/test_rule_026.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_026_test_input.fixed_require_blank.vhd"), lExpected_require_blank) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_027.py b/tests/process/test_rule_027.py index 9fe010a8c..ab5f18260 100644 --- a/tests/process/test_rule_027.py +++ b/tests/process/test_rule_027.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_027_test_input.fixed_no_blank.vhd"), lExpected_no_blank) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_028.py b/tests/process/test_rule_028.py index e2d93aec1..5168aff4b 100644 --- a/tests/process/test_rule_028.py +++ b/tests/process/test_rule_028.py @@ -22,7 +22,7 @@ utils.read_file(os.path.join(sTestDir, "rule_028_test_input.fixed_smart_tabs.vhd"), lExpected_smart_tabs) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_029.py b/tests/process/test_rule_029.py index aa2c1bfc1..31ece4968 100644 --- a/tests/process/test_rule_029.py +++ b/tests/process/test_rule_029.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_029_test_input.fixed_edge.vhd"), lExpected_edge) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_030.py b/tests/process/test_rule_030.py index 5f9a4063c..6e7db609f 100644 --- a/tests/process/test_rule_030.py +++ b/tests/process/test_rule_030.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_030_test_input.vhd")) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_031.py b/tests/process/test_rule_031.py index 1fdccc987..e9c3c51bd 100644 --- a/tests/process/test_rule_031.py +++ b/tests/process/test_rule_031.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_031_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_033.py b/tests/process/test_rule_033.py index 14034a8f9..52ca2863c 100644 --- a/tests/process/test_rule_033.py +++ b/tests/process/test_rule_033.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_033_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_034.py b/tests/process/test_rule_034.py index 411e1f07a..7d70abc88 100644 --- a/tests/process/test_rule_034.py +++ b/tests/process/test_rule_034.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_034_test_input.fixed.vhd"), lExpected) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_035.py b/tests/process/test_rule_035.py index 48ab67902..c37c6abac 100644 --- a/tests/process/test_rule_035.py +++ b/tests/process/test_rule_035.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_035_test_input.fixed_compact_alignment_false.vhd"), lExpectedCompactAlignmentFalse) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_035_smart_tabs.py b/tests/process/test_rule_035_smart_tabs.py index bd7cc7a53..3d804faf9 100644 --- a/tests/process/test_rule_035_smart_tabs.py +++ b/tests/process/test_rule_035_smart_tabs.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_035_test_input_smart_tabs.fixed_indent_4.vhd"), lExpected_indent_4) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_036.py b/tests/process/test_rule_036.py index 34f898375..d34a12e63 100644 --- a/tests/process/test_rule_036.py +++ b/tests/process/test_rule_036.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_036_test_input.vhd")) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_037.py b/tests/process/test_rule_037.py index 5626321ff..2e6f552b1 100644 --- a/tests/process/test_rule_037.py +++ b/tests/process/test_rule_037.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_037_test_input.fixed.vhd"), lExpected, False) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_038.py b/tests/process/test_rule_038.py index f2b8b83e2..327248a36 100644 --- a/tests/process/test_rule_038.py +++ b/tests/process/test_rule_038.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_038_test_input.fixed.vhd"), lExpected, False) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_039.py b/tests/process/test_rule_039.py index 0ad426d12..08d4c5d35 100644 --- a/tests/process/test_rule_039.py +++ b/tests/process/test_rule_039.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_039_test_input.fixed.vhd"), lExpected, False) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/process/test_rule_600.py b/tests/process/test_rule_600.py index f1eebe35e..3571b7a0d 100644 --- a/tests/process/test_rule_600.py +++ b/tests/process/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_process_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type/test_rule_300.py b/tests/protected_type/test_rule_300.py index e1a2f7ef8..d0fac661b 100644 --- a/tests/protected_type/test_rule_300.py +++ b/tests/protected_type/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_protected_type_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type/test_rule_500.py b/tests/protected_type/test_rule_500.py index 485c75f9f..565cf94a0 100644 --- a/tests/protected_type/test_rule_500.py +++ b/tests/protected_type/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_protected_type_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type/test_rule_501.py b/tests/protected_type/test_rule_501.py index 5eeb9d7d2..ced847064 100644 --- a/tests/protected_type/test_rule_501.py +++ b/tests/protected_type/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_protected_type_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type/test_rule_502.py b/tests/protected_type/test_rule_502.py index 2507826db..227a6ec39 100644 --- a/tests/protected_type/test_rule_502.py +++ b/tests/protected_type/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_protected_type_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_300.py b/tests/protected_type_body/test_rule_300.py index d52c1d956..48958bb33 100644 --- a/tests/protected_type_body/test_rule_300.py +++ b/tests/protected_type_body/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_400.py b/tests/protected_type_body/test_rule_400.py index 53b458603..fa03f6169 100644 --- a/tests/protected_type_body/test_rule_400.py +++ b/tests/protected_type_body/test_rule_400.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_401.py b/tests/protected_type_body/test_rule_401.py index b7204c9eb..580d3b24f 100644 --- a/tests/protected_type_body/test_rule_401.py +++ b/tests/protected_type_body/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_402.py b/tests/protected_type_body/test_rule_402.py index b2a93dcc2..56d04f29f 100644 --- a/tests/protected_type_body/test_rule_402.py +++ b/tests/protected_type_body/test_rule_402.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_402_test_input.fixed.vhd"), lExpected) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_500.py b/tests/protected_type_body/test_rule_500.py index 876fded42..1fea5b744 100644 --- a/tests/protected_type_body/test_rule_500.py +++ b/tests/protected_type_body/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_501.py b/tests/protected_type_body/test_rule_501.py index 7a10bb684..d37f1dc32 100644 --- a/tests/protected_type_body/test_rule_501.py +++ b/tests/protected_type_body/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_502.py b/tests/protected_type_body/test_rule_502.py index a698d4556..f2d69ce29 100644 --- a/tests/protected_type_body/test_rule_502.py +++ b/tests/protected_type_body/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_503.py b/tests/protected_type_body/test_rule_503.py index 733c1233e..7e337c6ec 100644 --- a/tests/protected_type_body/test_rule_503.py +++ b/tests/protected_type_body/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/protected_type_body/test_rule_504.py b/tests/protected_type_body/test_rule_504.py index ddbf92193..27843e477 100644 --- a/tests/protected_type_body/test_rule_504.py +++ b/tests/protected_type_body/test_rule_504.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_504_test_input.fixed_upper.vhd"), lExpected_upper) -class test_protected_type_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/ranges/test_rule_001.py b/tests/ranges/test_rule_001.py index 0a269acc3..6184174a8 100644 --- a/tests/ranges/test_rule_001.py +++ b/tests/ranges/test_rule_001.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed_upper.vhd"), lExpected_upper) -class test_ranges_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/ranges/test_rule_002.py b/tests/ranges/test_rule_002.py index afdfcda18..651b69009 100644 --- a/tests/ranges/test_rule_002.py +++ b/tests/ranges/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_upper.vhd"), lExpected_upper) -class test_ranges_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_002.py b/tests/record_type_definition/test_rule_002.py index 2875eba23..8030af524 100644 --- a/tests/record_type_definition/test_rule_002.py +++ b/tests/record_type_definition/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_003.py b/tests/record_type_definition/test_rule_003.py index b3ac70163..1175f1972 100644 --- a/tests/record_type_definition/test_rule_003.py +++ b/tests/record_type_definition/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_004.py b/tests/record_type_definition/test_rule_004.py index 3f7bbbad0..caf4fbe9c 100644 --- a/tests/record_type_definition/test_rule_004.py +++ b/tests/record_type_definition/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_005.py b/tests/record_type_definition/test_rule_005.py index 6f7409b07..965b2fd05 100644 --- a/tests/record_type_definition/test_rule_005.py +++ b/tests/record_type_definition/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_006.py b/tests/record_type_definition/test_rule_006.py index d87d8cce9..2f9d99c41 100644 --- a/tests/record_type_definition/test_rule_006.py +++ b/tests/record_type_definition/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_007.py b/tests/record_type_definition/test_rule_007.py index f77b9789e..9c9047c09 100644 --- a/tests/record_type_definition/test_rule_007.py +++ b/tests/record_type_definition/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_100.py b/tests/record_type_definition/test_rule_100.py index 9dc1a38e3..b09792653 100644 --- a/tests/record_type_definition/test_rule_100.py +++ b/tests/record_type_definition/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_101.py b/tests/record_type_definition/test_rule_101.py index 79ed8d038..9b5d3d8a4 100644 --- a/tests/record_type_definition/test_rule_101.py +++ b/tests/record_type_definition/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_200.py b/tests/record_type_definition/test_rule_200.py index 27d1e3b8d..1260a0975 100644 --- a/tests/record_type_definition/test_rule_200.py +++ b/tests/record_type_definition/test_rule_200.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_201.py b/tests/record_type_definition/test_rule_201.py index fbcb34320..92d66df50 100644 --- a/tests/record_type_definition/test_rule_201.py +++ b/tests/record_type_definition/test_rule_201.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_300.py b/tests/record_type_definition/test_rule_300.py index 4362706b4..68a6d7da0 100644 --- a/tests/record_type_definition/test_rule_300.py +++ b/tests/record_type_definition/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/record_type_definition/test_rule_301.py b/tests/record_type_definition/test_rule_301.py index 99b0a51e5..5fe56854f 100644 --- a/tests/record_type_definition/test_rule_301.py +++ b/tests/record_type_definition/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected, False) -class test_record_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/report_statement/test_rule_001.py b/tests/report_statement/test_rule_001.py index f0f48a210..b9cf07285 100644 --- a/tests/report_statement/test_rule_001.py +++ b/tests/report_statement/test_rule_001.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/report_statement/test_rule_002.py b/tests/report_statement/test_rule_002.py index 1a146b7a7..07aec2db0 100644 --- a/tests/report_statement/test_rule_002.py +++ b/tests/report_statement/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/report_statement/test_rule_100.py b/tests/report_statement/test_rule_100.py index 5e16119a0..f963a27a2 100644 --- a/tests/report_statement/test_rule_100.py +++ b/tests/report_statement/test_rule_100.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/report_statement/test_rule_101.py b/tests/report_statement/test_rule_101.py index 472557686..f20c8e6e9 100644 --- a/tests/report_statement/test_rule_101.py +++ b/tests/report_statement/test_rule_101.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/report_statement/test_rule_300.py b/tests/report_statement/test_rule_300.py index 32921d9f9..9b6fb2513 100644 --- a/tests/report_statement/test_rule_300.py +++ b/tests/report_statement/test_rule_300.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_assert_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/report_statement/test_rule_400.py b/tests/report_statement/test_rule_400.py index cf0966014..70794418e 100644 --- a/tests/report_statement/test_rule_400.py +++ b/tests/report_statement/test_rule_400.py @@ -24,7 +24,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed_left_aligned.vhd"), lExpected_left_aligned) -class test_report_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/report_statement/test_rule_500.py b/tests/report_statement/test_rule_500.py index aab720374..3556efd9b 100644 --- a/tests/report_statement/test_rule_500.py +++ b/tests/report_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_report_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/report_statement/test_rule_501.py b/tests/report_statement/test_rule_501.py index 0214bcc55..120300044 100644 --- a/tests/report_statement/test_rule_501.py +++ b/tests/report_statement/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_report_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/reserved/test_rule_001.py b/tests/reserved/test_rule_001.py index 4da5d436c..2770fe570 100644 --- a/tests/reserved/test_rule_001.py +++ b/tests/reserved/test_rule_001.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_001_test_input.vhd")) -class test_reserved_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/return_statement/test_rule_300.py b/tests/return_statement/test_rule_300.py index eec1d7790..6c5b9299c 100644 --- a/tests/return_statement/test_rule_300.py +++ b/tests/return_statement/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_return_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/return_statement/test_rule_301.py b/tests/return_statement/test_rule_301.py index 0267ba4e2..af1b2e8dc 100644 --- a/tests/return_statement/test_rule_301.py +++ b/tests/return_statement/test_rule_301.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_301_test_input.fixed.vhd"), lExpected) -class test_return_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/return_statement/test_rule_500.py b/tests/return_statement/test_rule_500.py index 69084d02c..622dede99 100644 --- a/tests/return_statement/test_rule_500.py +++ b/tests/return_statement/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_return_statement_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/selected_assignment/test_rule_500.py b/tests/selected_assignment/test_rule_500.py index 735fddcba..f4a394e88 100644 --- a/tests/selected_assignment/test_rule_500.py +++ b/tests/selected_assignment/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_selected_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/selected_assignment/test_rule_501.py b/tests/selected_assignment/test_rule_501.py index 0fa3e1f1f..db6978ad2 100644 --- a/tests/selected_assignment/test_rule_501.py +++ b/tests/selected_assignment/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_selected_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/selected_assignment/test_rule_502.py b/tests/selected_assignment/test_rule_502.py index f62750ef0..c302e5302 100644 --- a/tests/selected_assignment/test_rule_502.py +++ b/tests/selected_assignment/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_selected_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/selected_assignment/test_rule_503.py b/tests/selected_assignment/test_rule_503.py index 71ae6a18e..30f702c69 100644 --- a/tests/selected_assignment/test_rule_503.py +++ b/tests/selected_assignment/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_selected_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_001.py b/tests/sequential/test_rule_001.py index 6681f5fa9..34dc0166e 100644 --- a/tests/sequential/test_rule_001.py +++ b/tests/sequential/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_002.py b/tests/sequential/test_rule_002.py index 3fc0480dc..f967015e3 100644 --- a/tests/sequential/test_rule_002.py +++ b/tests/sequential/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_003.py b/tests/sequential/test_rule_003.py index a0d3cd311..c63ae746f 100644 --- a/tests/sequential/test_rule_003.py +++ b/tests/sequential/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_004.py b/tests/sequential/test_rule_004.py index 01b3c37b9..8026bae67 100644 --- a/tests/sequential/test_rule_004.py +++ b/tests/sequential/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_006.py b/tests/sequential/test_rule_006.py index 1087279ce..0f83f484c 100644 --- a/tests/sequential/test_rule_006.py +++ b/tests/sequential/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_007.py b/tests/sequential/test_rule_007.py index 130020930..ef2e2c328 100644 --- a/tests/sequential/test_rule_007.py +++ b/tests/sequential/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_008.py b/tests/sequential/test_rule_008.py index 48c23429b..7efb5894d 100644 --- a/tests/sequential/test_rule_008.py +++ b/tests/sequential/test_rule_008.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed_new_line_after_assign_no.vhd"), lExpected_new_line_after_assign_no) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_009.py b/tests/sequential/test_rule_009.py index a9e5732ff..d1f5b10a4 100644 --- a/tests/sequential/test_rule_009.py +++ b/tests/sequential/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_400.py b/tests/sequential/test_rule_400.py index f59908921..834202fd4 100644 --- a/tests/sequential/test_rule_400.py +++ b/tests/sequential/test_rule_400.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_401.py b/tests/sequential/test_rule_401.py index af587623d..8b07b6589 100644 --- a/tests/sequential/test_rule_401.py +++ b/tests/sequential/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/sequential/test_rule_402.py b/tests/sequential/test_rule_402.py index e4cfcf746..bdeb82ed8 100644 --- a/tests/sequential/test_rule_402.py +++ b/tests/sequential/test_rule_402.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_402_test_input.fixed.vhd"), lExpected) -class test_sequential_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/shift_operator/test_rule_500.py b/tests/shift_operator/test_rule_500.py index 72bf01628..59a9c6bfb 100644 --- a/tests/shift_operator/test_rule_500.py +++ b/tests/shift_operator/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_shift_operator_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_001.py b/tests/signal/test_rule_001.py index 989a8a5d2..256830e54 100644 --- a/tests/signal/test_rule_001.py +++ b/tests/signal/test_rule_001.py @@ -22,7 +22,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed_smart_tabs.vhd"), lExpected_smart_tabs) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_002.py b/tests/signal/test_rule_002.py index 4e89b248b..3438c1850 100644 --- a/tests/signal/test_rule_002.py +++ b/tests/signal/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_upper.vhd"), lExpected_upper) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_004.py b/tests/signal/test_rule_004.py index 18ac83fab..ed3564a9b 100644 --- a/tests/signal/test_rule_004.py +++ b/tests/signal/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_005.py b/tests/signal/test_rule_005.py index 2d6c84dad..03daf6b98 100644 --- a/tests/signal/test_rule_005.py +++ b/tests/signal/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_006.py b/tests/signal/test_rule_006.py index 1d40d218a..98f3c9d95 100644 --- a/tests/signal/test_rule_006.py +++ b/tests/signal/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_007.py b/tests/signal/test_rule_007.py index bf2c75f0c..07ab8a7c4 100644 --- a/tests/signal/test_rule_007.py +++ b/tests/signal/test_rule_007.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_007_test_input.vhd")) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_008.py b/tests/signal/test_rule_008.py index 0bed6d8b3..d979dbe13 100644 --- a/tests/signal/test_rule_008.py +++ b/tests/signal/test_rule_008.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_008_test_input.vhd")) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_012.py b/tests/signal/test_rule_012.py index f636618f2..4324e0886 100644 --- a/tests/signal/test_rule_012.py +++ b/tests/signal/test_rule_012.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_012_test_input.vhd")) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_015.py b/tests/signal/test_rule_015.py index e52099d31..e1bcf669c 100644 --- a/tests/signal/test_rule_015.py +++ b/tests/signal/test_rule_015.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_015_test_input.fixed.vhd"), lExpected) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_100.py b/tests/signal/test_rule_100.py index 782235de5..ffd90c2b0 100644 --- a/tests/signal/test_rule_100.py +++ b/tests/signal/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_101.py b/tests/signal/test_rule_101.py index 7cb2811d1..13ccb6210 100644 --- a/tests/signal/test_rule_101.py +++ b/tests/signal/test_rule_101.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_102.py b/tests/signal/test_rule_102.py index 49fe8ac0a..a866a16db 100644 --- a/tests/signal/test_rule_102.py +++ b/tests/signal/test_rule_102.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_102_test_input.fixed.vhd"), lExpected) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_200.py b/tests/signal/test_rule_200.py index 1fe1ffce1..64e04b246 100644 --- a/tests/signal/test_rule_200.py +++ b/tests/signal/test_rule_200.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_400.py b/tests/signal/test_rule_400.py index c1f417e8f..f65df04f8 100644 --- a/tests/signal/test_rule_400.py +++ b/tests/signal/test_rule_400.py @@ -30,7 +30,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed__align_left_no__align_paren_no.vhd"), lExpected__align_left_no__align_paren_no) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/signal/test_rule_600.py b/tests/signal/test_rule_600.py index 31cecedd6..d44401cd1 100644 --- a/tests/signal/test_rule_600.py +++ b/tests/signal/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_signal_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subprogram_body/test_rule_201.py b/tests/subprogram_body/test_rule_201.py index f8423a0e2..b8ad49f35 100644 --- a/tests/subprogram_body/test_rule_201.py +++ b/tests/subprogram_body/test_rule_201.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed.vhd"), lExpected, False) -class test_subprogram_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subprogram_body/test_rule_202.py b/tests/subprogram_body/test_rule_202.py index 056c54284..30a816faa 100644 --- a/tests/subprogram_body/test_rule_202.py +++ b/tests/subprogram_body/test_rule_202.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_202_test_input.fixed.vhd"), lExpected, False) -class test_subprogram_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subprogram_body/test_rule_203.py b/tests/subprogram_body/test_rule_203.py index 3d89a34b6..df76af0b2 100644 --- a/tests/subprogram_body/test_rule_203.py +++ b/tests/subprogram_body/test_rule_203.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_203_test_input.fixed.vhd"), lExpected, False) -class test_subprogram_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subprogram_body/test_rule_204.py b/tests/subprogram_body/test_rule_204.py index c8f1e5129..ec684f51d 100644 --- a/tests/subprogram_body/test_rule_204.py +++ b/tests/subprogram_body/test_rule_204.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_204_test_input.fixed.vhd"), lExpected, False) -class test_subprogram_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subprogram_body/test_rule_205.py b/tests/subprogram_body/test_rule_205.py index 9fa4a2ed9..620d183e3 100644 --- a/tests/subprogram_body/test_rule_205.py +++ b/tests/subprogram_body/test_rule_205.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_205_test_input.fixed.vhd"), lExpected, False) -class test_subprogram_body_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subprogram_instantiation/test_rule_100.py b/tests/subprogram_instantiation/test_rule_100.py index e4db5a943..8e04be1c9 100644 --- a/tests/subprogram_instantiation/test_rule_100.py +++ b/tests/subprogram_instantiation/test_rule_100.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_subprogram_instantiation_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_001.py b/tests/subtype/test_rule_001.py index 4d34a07d1..c2c4fc285 100644 --- a/tests/subtype/test_rule_001.py +++ b/tests/subtype/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_subtype_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_004.py b/tests/subtype/test_rule_004.py index 7f69a2e45..e7152ce70 100644 --- a/tests/subtype/test_rule_004.py +++ b/tests/subtype/test_rule_004.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_004_test_input.vhd")) -class test_subtype_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_005.py b/tests/subtype/test_rule_005.py index 9d310d518..3668a3f5b 100644 --- a/tests/subtype/test_rule_005.py +++ b/tests/subtype/test_rule_005.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_100.py b/tests/subtype/test_rule_100.py index 281637a99..925b2d062 100644 --- a/tests/subtype/test_rule_100.py +++ b/tests/subtype/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_subtype_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_101.py b/tests/subtype/test_rule_101.py index ae3ff1a02..6a53abc7b 100644 --- a/tests/subtype/test_rule_101.py +++ b/tests/subtype/test_rule_101.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_subtype_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_102.py b/tests/subtype/test_rule_102.py index 4fb3aae45..3d82cb537 100644 --- a/tests/subtype/test_rule_102.py +++ b/tests/subtype/test_rule_102.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_102_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_200.py b/tests/subtype/test_rule_200.py index 448b877ae..a779181bc 100644 --- a/tests/subtype/test_rule_200.py +++ b/tests/subtype/test_rule_200.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_201.py b/tests/subtype/test_rule_201.py index 46f1278f3..6039f23f3 100644 --- a/tests/subtype/test_rule_201.py +++ b/tests/subtype/test_rule_201.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_201_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_202.py b/tests/subtype/test_rule_202.py index d23690cde..99e1f8587 100644 --- a/tests/subtype/test_rule_202.py +++ b/tests/subtype/test_rule_202.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_202_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_500.py b/tests/subtype/test_rule_500.py index 1120c8f2d..4d336e568 100644 --- a/tests/subtype/test_rule_500.py +++ b/tests/subtype/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_subtype_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_501.py b/tests/subtype/test_rule_501.py index def748a53..bff4fea4f 100644 --- a/tests/subtype/test_rule_501.py +++ b/tests/subtype/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_subtype_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_502.py b/tests/subtype/test_rule_502.py index 00ae84d96..5234d2f6c 100644 --- a/tests/subtype/test_rule_502.py +++ b/tests/subtype/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_subtype_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/subtype/test_rule_600.py b/tests/subtype/test_rule_600.py index 091e4df7e..3d6b2ceb2 100644 --- a/tests/subtype/test_rule_600.py +++ b/tests/subtype/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_subtype_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_001.py b/tests/type_definition/test_rule_001.py index ca272b69c..ade4a1904 100644 --- a/tests/type_definition/test_rule_001.py +++ b/tests/type_definition/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_002.py b/tests/type_definition/test_rule_002.py index 9c7441937..4d4394f2c 100644 --- a/tests/type_definition/test_rule_002.py +++ b/tests/type_definition/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_upper.vhd"), lExpected_upper) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_004.py b/tests/type_definition/test_rule_004.py index 34c042ce7..ef997e183 100644 --- a/tests/type_definition/test_rule_004.py +++ b/tests/type_definition/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_005.py b/tests/type_definition/test_rule_005.py index b55efbdb7..4a0df3d56 100644 --- a/tests/type_definition/test_rule_005.py +++ b/tests/type_definition/test_rule_005.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_006.py b/tests/type_definition/test_rule_006.py index 306d1f42c..d7dceb61a 100644 --- a/tests/type_definition/test_rule_006.py +++ b/tests/type_definition/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_007.py b/tests/type_definition/test_rule_007.py index a96816b08..11c013e53 100644 --- a/tests/type_definition/test_rule_007.py +++ b/tests/type_definition/test_rule_007.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_008.py b/tests/type_definition/test_rule_008.py index cf7e1e4a7..e84e24dc8 100644 --- a/tests/type_definition/test_rule_008.py +++ b/tests/type_definition/test_rule_008.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_009.py b/tests/type_definition/test_rule_009.py index 66b6a517b..ddf889410 100644 --- a/tests/type_definition/test_rule_009.py +++ b/tests/type_definition/test_rule_009.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_009_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_010.py b/tests/type_definition/test_rule_010.py index 26424fd0a..d3745e344 100644 --- a/tests/type_definition/test_rule_010.py +++ b/tests/type_definition/test_rule_010.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_011.py b/tests/type_definition/test_rule_011.py index 939e861a2..a22fc66e3 100644 --- a/tests/type_definition/test_rule_011.py +++ b/tests/type_definition/test_rule_011.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_012.py b/tests/type_definition/test_rule_012.py index 2941757b6..a3005c9b9 100644 --- a/tests/type_definition/test_rule_012.py +++ b/tests/type_definition/test_rule_012.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_012_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_013.py b/tests/type_definition/test_rule_013.py index a6ce156bc..f9d96e91c 100644 --- a/tests/type_definition/test_rule_013.py +++ b/tests/type_definition/test_rule_013.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed_upper.vhd"), lExpected_upper) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_015.py b/tests/type_definition/test_rule_015.py index e5b1b7f1c..0a37df233 100644 --- a/tests/type_definition/test_rule_015.py +++ b/tests/type_definition/test_rule_015.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_015_test_input.vhd")) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_016.py b/tests/type_definition/test_rule_016.py index a83564684..acbf58b83 100644 --- a/tests/type_definition/test_rule_016.py +++ b/tests/type_definition/test_rule_016.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_016_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_017.py b/tests/type_definition/test_rule_017.py index 2b79c42ef..2467646aa 100644 --- a/tests/type_definition/test_rule_017.py +++ b/tests/type_definition/test_rule_017.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_017_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_018.py b/tests/type_definition/test_rule_018.py index 256aad782..705277c15 100644 --- a/tests/type_definition/test_rule_018.py +++ b/tests/type_definition/test_rule_018.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_018_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_100.py b/tests/type_definition/test_rule_100.py index 29acdcb39..f237c7fb0 100644 --- a/tests/type_definition/test_rule_100.py +++ b/tests/type_definition/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_200.py b/tests/type_definition/test_rule_200.py index 44b3bcc5d..0eaf1d07c 100644 --- a/tests/type_definition/test_rule_200.py +++ b/tests/type_definition/test_rule_200.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_200_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_400.py b/tests/type_definition/test_rule_400.py index 366a4b0ac..bdfa89620 100644 --- a/tests/type_definition/test_rule_400.py +++ b/tests/type_definition/test_rule_400.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_definition/test_rule_600.py b/tests/type_definition/test_rule_600.py index f969ea738..facad4653 100644 --- a/tests/type_definition/test_rule_600.py +++ b/tests/type_definition/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/type_mark/test_rule_500.py b/tests/type_mark/test_rule_500.py index 98d8f3083..5c77a06c0 100644 --- a/tests/type_mark/test_rule_500.py +++ b/tests/type_mark/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_type_definition_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/use_clause/test_rule_500.py b/tests/use_clause/test_rule_500.py index 56de98761..98d39087f 100644 --- a/tests/use_clause/test_rule_500.py +++ b/tests/use_clause/test_rule_500.py @@ -28,7 +28,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper_with_exceptions.vhd"), lExpected_upper_with_exceptions) -class test_use_clause_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/use_clause/test_rule_501.py b/tests/use_clause/test_rule_501.py index 829707a29..382634be2 100644 --- a/tests/use_clause/test_rule_501.py +++ b/tests/use_clause/test_rule_501.py @@ -28,7 +28,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper_with_exceptions.vhd"), lExpected_upper_with_exceptions) -class test_use_clause_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/use_clause/test_rule_502.py b/tests/use_clause/test_rule_502.py index 5e018c969..cb6ba1e06 100644 --- a/tests/use_clause/test_rule_502.py +++ b/tests/use_clause/test_rule_502.py @@ -28,7 +28,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper_with_exceptions.vhd"), lExpected_upper_with_exceptions) -class test_use_clause_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/use_clause/test_rule_503.py b/tests/use_clause/test_rule_503.py index 95835b711..bf043c1c4 100644 --- a/tests/use_clause/test_rule_503.py +++ b/tests/use_clause/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_use_clause_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_001.py b/tests/variable/test_rule_001.py index 030612f3b..7dd7b0007 100644 --- a/tests/variable/test_rule_001.py +++ b/tests/variable/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_002.py b/tests/variable/test_rule_002.py index 2cd84eefb..af5d1593a 100644 --- a/tests/variable/test_rule_002.py +++ b/tests/variable/test_rule_002.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed_upper.vhd"), lExpected_upper) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_004.py b/tests/variable/test_rule_004.py index edc5eda63..185e13f78 100644 --- a/tests/variable/test_rule_004.py +++ b/tests/variable/test_rule_004.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed_upper.vhd"), lExpected_upper) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_005.py b/tests/variable/test_rule_005.py index 9a177d2bd..d5dbe3ff8 100644 --- a/tests/variable/test_rule_005.py +++ b/tests/variable/test_rule_005.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_006.py b/tests/variable/test_rule_006.py index 0ab00e993..394ed44a5 100644 --- a/tests/variable/test_rule_006.py +++ b/tests/variable/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_007.py b/tests/variable/test_rule_007.py index 6f9b4de52..6a97bef28 100644 --- a/tests/variable/test_rule_007.py +++ b/tests/variable/test_rule_007.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_007_test_input.vhd")) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_012.py b/tests/variable/test_rule_012.py index acc60d898..340da5d94 100644 --- a/tests/variable/test_rule_012.py +++ b/tests/variable/test_rule_012.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_012_test_input.vhd")) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_100.py b/tests/variable/test_rule_100.py index d82db93f7..3d17d8b1c 100644 --- a/tests/variable/test_rule_100.py +++ b/tests/variable/test_rule_100.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_100_test_input.fixed.vhd"), lExpected) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_400.py b/tests/variable/test_rule_400.py index 1776a7820..f41ee3544 100644 --- a/tests/variable/test_rule_400.py +++ b/tests/variable/test_rule_400.py @@ -30,7 +30,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed__align_left_no__align_paren_no.vhd"), lExpected__align_left_no__align_paren_no) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable/test_rule_600.py b/tests/variable/test_rule_600.py index 887ea53f8..dbd1c9f7a 100644 --- a/tests/variable/test_rule_600.py +++ b/tests/variable/test_rule_600.py @@ -12,7 +12,7 @@ lFile, eError = vhdlFile.utils.read_vhdlfile(os.path.join(sTestDir, "rule_600_test_input.vhd")) -class test_variable_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_001.py b/tests/variable_assignment/test_rule_001.py index 1a153a922..8c0ecfd5c 100644 --- a/tests/variable_assignment/test_rule_001.py +++ b/tests/variable_assignment/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_002.py b/tests/variable_assignment/test_rule_002.py index 2921a06cd..b3d6bd77e 100644 --- a/tests/variable_assignment/test_rule_002.py +++ b/tests/variable_assignment/test_rule_002.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_002_test_input.fixed.vhd"), lExpected) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_003.py b/tests/variable_assignment/test_rule_003.py index 9e991309b..679542b44 100644 --- a/tests/variable_assignment/test_rule_003.py +++ b/tests/variable_assignment/test_rule_003.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_004.py b/tests/variable_assignment/test_rule_004.py index 7a726b726..852c0d473 100644 --- a/tests/variable_assignment/test_rule_004.py +++ b/tests/variable_assignment/test_rule_004.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_006.py b/tests/variable_assignment/test_rule_006.py index 9593e00d2..53ab3dec2 100644 --- a/tests/variable_assignment/test_rule_006.py +++ b/tests/variable_assignment/test_rule_006.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_007.py b/tests/variable_assignment/test_rule_007.py index e0ed41a78..03840801e 100644 --- a/tests/variable_assignment/test_rule_007.py +++ b/tests/variable_assignment/test_rule_007.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed_new_line_after_assign_no.vhd"), lExpected_new_line_after_assign_no) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_008.py b/tests/variable_assignment/test_rule_008.py index 3d670d51b..ae02b39b3 100644 --- a/tests/variable_assignment/test_rule_008.py +++ b/tests/variable_assignment/test_rule_008.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_400.py b/tests/variable_assignment/test_rule_400.py index 25766ddba..8d8bcc32d 100644 --- a/tests/variable_assignment/test_rule_400.py +++ b/tests/variable_assignment/test_rule_400.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_400_test_input.fixed.vhd"), lExpected) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/variable_assignment/test_rule_401.py b/tests/variable_assignment/test_rule_401.py index 988a7c175..f0805449a 100644 --- a/tests/variable_assignment/test_rule_401.py +++ b/tests/variable_assignment/test_rule_401.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_401_test_input.fixed.vhd"), lExpected) -class test_variable_assignment_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/vhdlFile/signal_declaration/classification_results.txt b/tests/vhdlFile/signal_declaration/classification_results.txt index f27df0488..fad2d5aea 100644 --- a/tests/vhdlFile/signal_declaration/classification_results.txt +++ b/tests/vhdlFile/signal_declaration/classification_results.txt @@ -39,7 +39,7 @@ 7 | -------------------------------------------------------------------------------- -8 | signal fifo_wr : std_logic_vector(3 downto 0) := "000"; +8 | signal fifo_wr : std_logic_vector(3 downto 0) := b"000"; @@ -50,7 +50,8 @@ - + + -------------------------------------------------------------------------------- 9 | diff --git a/tests/vhdlFile/signal_declaration/classification_test_input.vhd b/tests/vhdlFile/signal_declaration/classification_test_input.vhd index ddcb23f38..94a05413f 100644 --- a/tests/vhdlFile/signal_declaration/classification_test_input.vhd +++ b/tests/vhdlFile/signal_declaration/classification_test_input.vhd @@ -5,7 +5,7 @@ architecture RTL of FIFO is signal fifo_wr : std_logic_vector(3 downto 0); - signal fifo_wr : std_logic_vector(3 downto 0) := "000"; + signal fifo_wr : std_logic_vector(3 downto 0) := b"000"; signal fifo_wr, fifo_rd, fifo_empty : std_logic := '1'; diff --git a/tests/wait/test_rule_001.py b/tests/wait/test_rule_001.py index b5f34d35a..fa3325f68 100644 --- a/tests/wait/test_rule_001.py +++ b/tests/wait/test_rule_001.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_wait_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/wait/test_rule_300.py b/tests/wait/test_rule_300.py index bb98bc86b..8b90e33c0 100644 --- a/tests/wait/test_rule_300.py +++ b/tests/wait/test_rule_300.py @@ -18,7 +18,7 @@ utils.read_file(os.path.join(sTestDir, "rule_300_test_input.fixed.vhd"), lExpected) -class test_wait_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/wait/test_rule_500.py b/tests/wait/test_rule_500.py index 64a6bc952..a46d30553 100644 --- a/tests/wait/test_rule_500.py +++ b/tests/wait/test_rule_500.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_500_test_input.fixed_upper.vhd"), lExpected_upper) -class test_wait_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/wait/test_rule_501.py b/tests/wait/test_rule_501.py index d34dc60c3..f90f0d936 100644 --- a/tests/wait/test_rule_501.py +++ b/tests/wait/test_rule_501.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_501_test_input.fixed_upper.vhd"), lExpected_upper) -class test_wait_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/wait/test_rule_502.py b/tests/wait/test_rule_502.py index 44e147e87..29b39e124 100644 --- a/tests/wait/test_rule_502.py +++ b/tests/wait/test_rule_502.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_502_test_input.fixed_upper.vhd"), lExpected_upper) -class test_wait_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/wait/test_rule_503.py b/tests/wait/test_rule_503.py index 916cd8706..7cef6de75 100644 --- a/tests/wait/test_rule_503.py +++ b/tests/wait/test_rule_503.py @@ -20,7 +20,7 @@ utils.read_file(os.path.join(sTestDir, "rule_503_test_input.fixed_upper.vhd"), lExpected_upper) -class test_wait_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/when/test_rule_001.py b/tests/when/test_rule_001.py index c67ca0a9a..06d2df45f 100644 --- a/tests/when/test_rule_001.py +++ b/tests/when/test_rule_001.py @@ -16,7 +16,7 @@ utils.read_file(os.path.join(sTestDir, "rule_001_test_input.fixed.vhd"), lExpected) -class test_when_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_003.py b/tests/whitespace/test_rule_003.py index da8eaa0af..0c0c32ec6 100644 --- a/tests/whitespace/test_rule_003.py +++ b/tests/whitespace/test_rule_003.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_003_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_004.py b/tests/whitespace/test_rule_004.py index ae1b448f2..3992651ea 100644 --- a/tests/whitespace/test_rule_004.py +++ b/tests/whitespace/test_rule_004.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_004_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_005.py b/tests/whitespace/test_rule_005.py index dd2cc4dee..4ae3f4e72 100644 --- a/tests/whitespace/test_rule_005.py +++ b/tests/whitespace/test_rule_005.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_005_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_006.py b/tests/whitespace/test_rule_006.py index 45cf393e3..6954b1eda 100644 --- a/tests/whitespace/test_rule_006.py +++ b/tests/whitespace/test_rule_006.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_006_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_007.py b/tests/whitespace/test_rule_007.py index edaea29f2..76623612c 100644 --- a/tests/whitespace/test_rule_007.py +++ b/tests/whitespace/test_rule_007.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_007_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_008.py b/tests/whitespace/test_rule_008.py index b55ce54c6..cf1611eac 100644 --- a/tests/whitespace/test_rule_008.py +++ b/tests/whitespace/test_rule_008.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_008_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_010.py b/tests/whitespace/test_rule_010.py index a108d2a27..6a8f8b597 100644 --- a/tests/whitespace/test_rule_010.py +++ b/tests/whitespace/test_rule_010.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_010_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_011.py b/tests/whitespace/test_rule_011.py index 16655cd1d..58e527d8b 100644 --- a/tests/whitespace/test_rule_011.py +++ b/tests/whitespace/test_rule_011.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_011_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_013.py b/tests/whitespace/test_rule_013.py index 4a0c13df3..4400063e1 100644 --- a/tests/whitespace/test_rule_013.py +++ b/tests/whitespace/test_rule_013.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_013_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/tests/whitespace/test_rule_101.py b/tests/whitespace/test_rule_101.py index 4f8f045fb..98f8a3715 100644 --- a/tests/whitespace/test_rule_101.py +++ b/tests/whitespace/test_rule_101.py @@ -15,7 +15,7 @@ utils.read_file(os.path.join(sTestDir, "rule_101_test_input.fixed.vhd"), lExpected) -class test_whitespace_rule(unittest.TestCase): +class test_rule(unittest.TestCase): def setUp(self): self.oFile = vhdlFile.vhdlFile(lFile) self.assertIsNone(eError) diff --git a/vsg/data_structure.py b/vsg/data_structure.py new file mode 100644 index 000000000..03b3e1d57 --- /dev/null +++ b/vsg/data_structure.py @@ -0,0 +1,262 @@ +# -*- coding: utf-8 -*- + +from vsg import decorators, exceptions, parser +from vsg.vhdlFile.classify import utils + + +def New(lAllObjects): + return design_file(lAllObjects) + + +class design_file: + def __init__(self, lAllObjects): + self.lAllObjects = lAllObjects + self.iEndIndex = len(lAllObjects) - 1 + self.sFilename = None + self.iCurrent = 0 + self.lCurrent = [] + self.iSeek = 0 + self.lSeek = [] + + def advance_seek_over_parenthesis(self): + if not self.seek_token_lower_value_is("("): + return False + + iParen = 0 + for iToken, oToken in enumerate(self.lAllObjects[self.iSeek : :]): + if oToken.lower_value == "(": + iParen += 1 + elif oToken.lower_value == ")": + iParen -= 1 + if iParen == 0: + self.iSeek += iToken + 1 + return True + return False + + def advance_to_next_token(self): + for iIndex, oToken in enumerate(self.lAllObjects[self.iCurrent : :]): + if type(oToken) == parser.item: + self.iCurrent = self.iCurrent + iIndex + self.iSeek = self.iCurrent + return True + return False + + def advance_to_next_seek_token(self): + for iIndex, oToken in enumerate(self.lAllObjects[self.iSeek : :]): + if type(oToken) == parser.item: + self.iSeek = self.iSeek + iIndex + return True + return False + + def align_seek_index(self): + self.iSeek = self.iCurrent + + @decorators.push_pop_seek_index + def are_next_consecutive_tokens(self, lTokens): + for sToken in lTokens: + self.advance_to_next_seek_token() + if sToken is not None: + if not self.seek_token_lower_value_is(sToken): + return False + self.increment_seek_index() + return True + + def at_end_of_file(self): + if self.iCurrent == self.iEndIndex: + # TODO: Raise an exception when this occurs + exit() + return False + + def current_token_lower_value_is(self, sString): + return self.get_current_token_lower_value() == sString + + def debug_print(self, iNumTokens): + sOutput = "" + for oToken in self.lAllObjects[self.iCurrent : self.iCurrent + iNumTokens]: + sOutput += oToken.get_value() + print(f">>Current[{sOutput}]<<") + + def debug_seek_print(self, iNumTokens): + sOutput = "" + for oToken in self.lAllObjects[self.iSeek : self.iSeek + iNumTokens]: + sOutput += oToken.get_value() + print(f">>Seek[{sOutput}]<<") + + def does_seek_token_match_regex(self, oRegex): + if oRegex.fullmatch(self.get_seek_token_lower_value()) is not None: + return True + return False + + @decorators.push_pop_seek_index + def does_string_exist_before_string(self, sFirst, sSecond): + for oToken in self.lAllObjects[self.iSeek : :]: + if oToken.lower_value == sSecond: + return False + if oToken.lower_value == sFirst: + return True + + @decorators.push_pop_seek_index + def does_string_exist_before_mark_index_honoring_parenthesis_hierarchy(self, sString): + iParen = 0 + for iIndex in range(self.get_current_index(), self.iMark): + if self.lAllObjects[iIndex].lower_value == "(": + iParen += 1 + elif self.lAllObjects[iIndex].lower_value == ")": + iParen -= 1 + if iParen == 0 and self.lAllObjects[iIndex].lower_value == sString: + return True + return False + + def does_string_exist_before_matching_close_parenthesis(self, sString, myParen=0): + iParen = myParen + for oToken in self.lAllObjects[self.iSeek : :]: + if oToken.lower_value == "(": + iParen += 1 + elif oToken.lower_value == ")": + iParen -= 1 + if iParen == 0 and oToken.lower_value == sString: + return True + if iParen == -1: + return False + return False + + def does_string_exist_before_string_honoring_parenthesis_hierarchy(self, sFirst, sSecond): + iParen = 0 + for oToken in self.lAllObjects[self.iSeek : :]: + if oToken.lower_value == sSecond: + return False + if oToken.lower_value == "(": + iParen += 1 + elif oToken.lower_value == ")": + iParen -= 1 + if iParen == 0 and oToken.lower_value == sFirst: + return True + return False + + def does_string_exist_in_next_n_tokens(self, sString, iNumTokens): + return self.does_string_exist_in_next_n_tokens_from_seek_index(sString, iNumTokens) + + def does_string_exist_in_next_n_tokens_from_seek_index(self, sString, iNumTokens): + self.push_seek_index() + for x in range(0, iNumTokens): + self.advance_to_next_seek_token() + if self.seek_token_lower_value_is(sString): + self.pop_seek_index() + return True + self.increment_seek_index() + self.pop_seek_index() + return False + + def get_current_index(self): + return self.iCurrent + + def get_current_token(self): + return self.lAllObjects[self.iCurrent] + + def get_current_token_lower_value(self): + try: + return self.lAllObjects[self.iCurrent].lower_value + except IndexError: + return "" + + def get_current_token_value(self): + return self.lAllObjects[self.iCurrent].get_value() + + def get_next_token_value(self): + return self.lAllObjects[self.iCurrent + 1].get_value() + + def get_seek_index(self): + return self.iSeek + + def get_seek_token_lower_value(self): + try: + return self.lAllObjects[self.iSeek].lower_value + except IndexError: + return "" + + def increment_current_index(self): + self.iCurrent += 1 + self.iSeek = self.iCurrent + + def increment_seek_index(self): + self.iSeek += 1 + + def is_next_seek_token(self, sString): + self.advance_to_next_seek_token() + return self.seek_token_lower_value_is(sString) + + def is_next_seek_token_one_of(self, lString): + self.advance_to_next_seek_token() + return self.get_seek_token_lower_value() in lString + + def is_next_token(self, sString): + self.advance_to_next_token() + return self.current_token_lower_value_is(sString) + + def is_next_token_one_of(self, lString): + self.advance_to_next_token() + return self.get_current_token_lower_value() in lString + + def push_current_index(self): + self.lCurrent.append(self.iCurrent) + + def push_seek_index(self): + self.lSeek.append(self.iSeek) + + def pop_current_index(self): + self.iCurrent = self.lCurrent.pop() + self.iSeek = self.iCurrent + + def pop_push_seek_index(self): + self.iSeek = self.lSeek[-1] + + def pop_seek_index(self): + self.iSeek = self.lSeek.pop() + + def remove_token_at_offset(self, iOffset): + self.lAllObjects.pop(self.iCurrent + iOffset) + self.iEndIndex = len(self.lAllObjects) - 1 + + def replace_current_token_with(self, token): + self.lAllObjects[self.iCurrent] = token(self.get_current_token_value()) + self.increment_current_index() + + def replace_current_token_with_list_of_tokens(self, lTokens): + self.lAllObjects.pop(self.get_current_index()) + self.lAllObjects[self.get_current_index() : self.get_current_index()] = lTokens + self.iEndIndex = len(self.lAllObjects) - 1 + + def replace_next_token_required(self, sToken, token): + if self.is_next_token(sToken): + self.replace_current_token_with(token) + else: + utils.print_error_message(sToken, token, self.get_current_token(), self) + + def replace_next_token_with(self, token): + self.advance_to_next_token() + self.replace_current_token_with(token) + + def replace_next_token_with_if(self, sString, token): + self.advance_to_next_token() + if self.current_token_lower_value_is(sString): + self.replace_current_token_with(token) + + def replace_next_token_with_if_not(self, sString, token): + self.advance_to_next_token() + if not self.current_token_lower_value_is(sString): + self.replace_current_token_with(token) + + def replace_tokens_from_current_to_mark_with(self, token): + self.advance_to_next_token() + while self.get_current_index() < self.iMark: + utils.assign_special_tokens(self, token) + self.advance_to_next_token() + + def seek_token_lower_value_is(self, sString): + return self.get_seek_token_lower_value() == sString + + def set_filename(self, sString): + self.sFilename = sString + + def set_mark_index(self): + self.iMark = self.iSeek diff --git a/vsg/decorators.py b/vsg/decorators.py new file mode 100644 index 000000000..a1998b385 --- /dev/null +++ b/vsg/decorators.py @@ -0,0 +1,76 @@ +# -*- coding: utf-8 -*- + +import functools + + +def print_method_name(func): + @functools.wraps(func) + def wrapper(*args, **kwargs): + class_name = args[0].__class__.__name__ if args else "N/A" + print(f"-->> {__name__}") + print(f"Calling method: {class_name}.{func.__name__}") + return func(*args, **kwargs) + + return wrapper + + +level = 0 +display = True +display = False + + +def print_classifier_debug_info(argument): + print_classifier_debug_info.level = 0 + + def decorator(function): + def wrapper(*args, **kwargs): + if display: + global level + sArgument = argument.replace("vsg.vhdlFile.classify.", "") + sLevel = " " * (2 * level) + sEntering = f"Entering: {sLevel} {sArgument}.{function.__name__} " + sEntering += "-" * (100 - len(sEntering)) + print(sEntering) + level += 1 + + results = function(*args, **kwargs) + + if display: + sExiting = f"Exiting: {sLevel} {sArgument}.{function.__name__} == {results} " + sExiting += "-" * (100 - len(sExiting)) + print(sExiting) + level -= 1 + + return results + + return wrapper + + return decorator + + +def push_pop_seek_index(func): + @functools.wraps(func) + def wrapper(*args, **kwargs): + args[0].push_seek_index() + + results = func(*args, **kwargs) + + args[0].pop_seek_index() + + return results + + return wrapper + + +def push_pop_current_index(func): + @functools.wraps(func) + def wrapper(*args, **kwargs): + args[0].push_current_index() + + results = func(*args, **kwargs) + + args[0].pop_current_index() + + return results + + return wrapper diff --git a/vsg/exceptions.py b/vsg/exceptions.py index 50708de2a..0f3f9ff0c 100644 --- a/vsg/exceptions.py +++ b/vsg/exceptions.py @@ -23,3 +23,11 @@ def __init__(self, message): def __str__(self): return self.message + + +class IndexedPassedEndOfFile(Exception): + def __init__(self, message): + self.message = message + + def __str__(self): + return self.message diff --git a/vsg/parser.py b/vsg/parser.py index e06abe113..507731ba1 100644 --- a/vsg/parser.py +++ b/vsg/parser.py @@ -430,8 +430,8 @@ class label_colon(colon): unique_id = parser : label_colon """ - def __init__(self): - super().__init__() + def __init__(self, sString=":"): + super().__init__(sString) class open_parenthesis(item): @@ -439,8 +439,8 @@ class open_parenthesis(item): unique_id = parser : open_parenthesis """ - def __init__(self): - super().__init__("(") + def __init__(self, sString="("): + super().__init__(sString) class close_parenthesis(item): @@ -448,8 +448,8 @@ class close_parenthesis(item): unique_id = parser : close_parenthesis """ - def __init__(self): - super().__init__(")") + def __init__(self, sString=")"): + super().__init__(sString) class open_curly(item): diff --git a/vsg/token/assertion_statement.py b/vsg/token/assertion_statement.py index 026b0f97d..dfa497e41 100644 --- a/vsg/token/assertion_statement.py +++ b/vsg/token/assertion_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = assertion_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/case_generate_alternative.py b/vsg/token/case_generate_alternative.py index 6cf28727c..c8827b8bd 100644 --- a/vsg/token/case_generate_alternative.py +++ b/vsg/token/case_generate_alternative.py @@ -17,7 +17,7 @@ class alternative_label_colon(parser.label_colon): unique_id = case_generate_alternative : alternative_label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/case_generate_statement.py b/vsg/token/case_generate_statement.py index 1f6e37372..2a6f77768 100644 --- a/vsg/token/case_generate_statement.py +++ b/vsg/token/case_generate_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = case_generate_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/case_statement.py b/vsg/token/case_statement.py index 42f19cc18..06af9ed70 100644 --- a/vsg/token/case_statement.py +++ b/vsg/token/case_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = case_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/component_instantiation_statement.py b/vsg/token/component_instantiation_statement.py index f99a45b5c..5b2ad6293 100644 --- a/vsg/token/component_instantiation_statement.py +++ b/vsg/token/component_instantiation_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = component_instantiation_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/concurrent_procedure_call_statement.py b/vsg/token/concurrent_procedure_call_statement.py index cc67fff65..68a7ba800 100644 --- a/vsg/token/concurrent_procedure_call_statement.py +++ b/vsg/token/concurrent_procedure_call_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = concurrent_procedure_call_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/exit_statement.py b/vsg/token/exit_statement.py index 1d6d99af4..ed50c9bc2 100644 --- a/vsg/token/exit_statement.py +++ b/vsg/token/exit_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = exit_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/for_generate_statement.py b/vsg/token/for_generate_statement.py index 4e007d8e8..045284fe7 100644 --- a/vsg/token/for_generate_statement.py +++ b/vsg/token/for_generate_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = for_generate_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/if_generate_statement.py b/vsg/token/if_generate_statement.py index 02eaef6c9..99c427acf 100644 --- a/vsg/token/if_generate_statement.py +++ b/vsg/token/if_generate_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = if_generate_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() @@ -44,7 +44,7 @@ class alternative_label_colon(parser.label_colon): unique_id = if_generate_statement : alternative_label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/if_statement.py b/vsg/token/if_statement.py index 69b093842..24385c4b2 100644 --- a/vsg/token/if_statement.py +++ b/vsg/token/if_statement.py @@ -16,7 +16,7 @@ class label_colon(parser.label_colon): unique_id = if_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/loop_statement.py b/vsg/token/loop_statement.py index db2dedfd8..748a12201 100644 --- a/vsg/token/loop_statement.py +++ b/vsg/token/loop_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = loop_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/next_statement.py b/vsg/token/next_statement.py index b49cc44b5..9f29dd178 100644 --- a/vsg/token/next_statement.py +++ b/vsg/token/next_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = next_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/null_statement.py b/vsg/token/null_statement.py index 354c81052..c29e46ffe 100644 --- a/vsg/token/null_statement.py +++ b/vsg/token/null_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = null_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/primary_unit_declaration.py b/vsg/token/primary_unit_declaration.py index 8faf8c108..0867f1764 100644 --- a/vsg/token/primary_unit_declaration.py +++ b/vsg/token/primary_unit_declaration.py @@ -17,5 +17,5 @@ class semicolon(parser.semicolon): unique_id = primary_unit_declaration : semicolon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/procedure_call_statement.py b/vsg/token/procedure_call_statement.py index acdb7fa54..31c89ca93 100644 --- a/vsg/token/procedure_call_statement.py +++ b/vsg/token/procedure_call_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = procedure_call_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/process_statement.py b/vsg/token/process_statement.py index 4cdbb7cd1..a3630fe3b 100644 --- a/vsg/token/process_statement.py +++ b/vsg/token/process_statement.py @@ -17,8 +17,8 @@ class label_colon(parser.label_colon): unique_id = process_statement : label_colon """ - def __init__(self): - super().__init__() + def __init__(self, sString=":"): + super().__init__(sString) class postponed_keyword(parser.keyword): diff --git a/vsg/token/report_statement.py b/vsg/token/report_statement.py index 0ae94ccc8..86084d349 100644 --- a/vsg/token/report_statement.py +++ b/vsg/token/report_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = report_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/return_statement.py b/vsg/token/return_statement.py index 691f08a36..549c6266e 100644 --- a/vsg/token/return_statement.py +++ b/vsg/token/return_statement.py @@ -17,7 +17,7 @@ class label_colon(parser.label_colon): unique_id = return_statement : label_colon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/secondary_unit_declaration.py b/vsg/token/secondary_unit_declaration.py index 85b583e20..42e6dcc0e 100644 --- a/vsg/token/secondary_unit_declaration.py +++ b/vsg/token/secondary_unit_declaration.py @@ -17,7 +17,7 @@ class equal_sign(parser.item): unique_id = secondary_unit_declaration : equal_sign """ - def __init__(self): + def __init__(self, sString=None): super().__init__("=") @@ -35,5 +35,5 @@ class semicolon(parser.semicolon): unique_id = secondary_unit_declaration : semicolon """ - def __init__(self): + def __init__(self, sString=None): super().__init__() diff --git a/vsg/token/wait_statement.py b/vsg/token/wait_statement.py index 9be77606f..f6303fd09 100644 --- a/vsg/token/wait_statement.py +++ b/vsg/token/wait_statement.py @@ -17,8 +17,8 @@ class label_colon(parser.label_colon): unique_id = wait_statement : label_colon """ - def __init__(self): - super().__init__() + def __init__(self, sString=":"): + super().__init__(sString) class wait_keyword(parser.keyword): diff --git a/vsg/vhdlFile/classify/access_type_definition.py b/vsg/vhdlFile/classify/access_type_definition.py index 682e04e95..447294da6 100644 --- a/vsg/vhdlFile/classify/access_type_definition.py +++ b/vsg/vhdlFile/classify/access_type_definition.py @@ -1,25 +1,25 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import access_type_definition as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ access_type_definition ::= access subtype_indication """ - if utils.is_next_token("access", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_token("access"): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.access_keyword) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("access", token.access_keyword, iToken, lObjects) - - iCurrent = subtype_indication.classify(iCurrent, lObjects) - - return iCurrent + subtype_indication.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/actual_parameter_part.py b/vsg/vhdlFile/classify/actual_parameter_part.py index 9fa40f844..c04fdfa24 100644 --- a/vsg/vhdlFile/classify/actual_parameter_part.py +++ b/vsg/vhdlFile/classify/actual_parameter_part.py @@ -1,12 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import association_list -def classify(iTokent, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ actual_parameter_part ::= *parameter*_association_list """ - return association_list.classify(iTokent, lObjects) + association_list.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/aggregate.py b/vsg/vhdlFile/classify/aggregate.py index fe02c6bdc..9fa8a03dd 100644 --- a/vsg/vhdlFile/classify/aggregate.py +++ b/vsg/vhdlFile/classify/aggregate.py @@ -1,20 +1,19 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators -def classify(iToken, lObjects, oTokenClass): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure, oTokenClass): """ aggregate ::= ( element_association { , element_association } ) """ - iCurrent = utils.assign_next_token_required("(", oTokenClass.aggregate_open_parenthesis, iToken, lObjects) - iCurrent = utils.assign_next_token(oTokenClass.simple_name, iCurrent, lObjects) + oDataStructure.replace_next_token_required("(", oTokenClass.aggregate_open_parenthesis) + oDataStructure.replace_next_token_with(oTokenClass.simple_name) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", oTokenClass.aggregate_comma, iCurrent, lObjects) - iCurrent = utils.assign_next_token(oTokenClass.simple_name, iCurrent, lObjects) + while oDataStructure.is_next_token(","): + oDataStructure.replace_next_token_with(oTokenClass.aggregate_comma) + oDataStructure.replace_next_token_with(oTokenClass.simple_name) - iCurrent = utils.assign_next_token_required(")", oTokenClass.aggregate_close_parenthesis, iToken, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(")", oTokenClass.aggregate_close_parenthesis) diff --git a/vsg/vhdlFile/classify/alias_declaration.py b/vsg/vhdlFile/classify/alias_declaration.py index bb7357ed2..cada293b3 100644 --- a/vsg/vhdlFile/classify/alias_declaration.py +++ b/vsg/vhdlFile/classify/alias_declaration.py @@ -1,36 +1,38 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import alias_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import name, signature, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ alias_declaration ::= alias alias_designator [ : subtype_indication ] is name [ signature ] ; """ - if utils.is_next_token("alias", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_token("alias"): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.alias_keyword) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("alias", token.alias_keyword, iToken, lObjects) + oDataStructure.replace_next_token_with(token.alias_designator) - iCurrent = utils.assign_next_token(token.alias_designator, iCurrent, lObjects) + if oDataStructure.is_next_token(":"): + oDataStructure.replace_next_token_with(token.colon) + subtype_indication.classify(oDataStructure) - if utils.is_next_token(":", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) - iCurrent = subtype_indication.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = name.classify_until([";", "["], iCurrent, lObjects) + name.classify_until([";", "["], oDataStructure) - iCurrent = signature.detect(iCurrent, lObjects) + if signature.detect(oDataStructure): + signature.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/architecture_body.py b/vsg/vhdlFile/classify/architecture_body.py index 77d184d4a..4b22d3f1f 100644 --- a/vsg/vhdlFile/classify/architecture_body.py +++ b/vsg/vhdlFile/classify/architecture_body.py @@ -1,14 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import architecture_body as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( architecture_declarative_part, architecture_statement_part, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ architecture identifier of *entity*_name is architecture_declarative_part @@ -17,39 +18,27 @@ def detect(iToken, lObjects): end [ architecture ] [ *architecture*_simple_name ] ; """ - if utils.is_next_token("architecture", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("architecture"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = classify_opening_declaration(iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.architecture_keyword) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required("of", token.of_keyword) + oDataStructure.replace_next_token_with(token.entity_name) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = architecture_declarative_part.detect(iCurrent, lObjects) + architecture_declarative_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("begin", token.begin_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("begin", token.begin_keyword) - iCurrent = architecture_statement_part.classify_until(["end"], iCurrent, lObjects) + architecture_statement_part.detect(oDataStructure) - iCurrent = classify_closing_declaration(iToken, lObjects) - - return iCurrent - - -def classify_opening_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("architecture", token.architecture_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("of", token.of_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.entity_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - - return iCurrent - - -def classify_closing_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("architecture", token.end_architecture_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.architecture_simple_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_with_if("architecture", token.end_architecture_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.architecture_simple_name) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/architecture_declarative_part.py b/vsg/vhdlFile/classify/architecture_declarative_part.py index 05282a46a..c64df7f09 100644 --- a/vsg/vhdlFile/classify/architecture_declarative_part.py +++ b/vsg/vhdlFile/classify/architecture_declarative_part.py @@ -1,13 +1,15 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import block_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ architecture_declarative_part ::= { block_declarative_item } """ - return utils.detect_submodule(iToken, lObjects, block_declarative_item) + while block_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/architecture_statement_part.py b/vsg/vhdlFile/classify/architecture_statement_part.py index 67e7acf28..05e884a63 100644 --- a/vsg/vhdlFile/classify/architecture_statement_part.py +++ b/vsg/vhdlFile/classify/architecture_statement_part.py @@ -1,13 +1,15 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import concurrent_statement -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ architecture_statement_part ::= { concurrent_statement } """ - return utils.detect_subelement_until(lUntils[0], concurrent_statement, iToken, lObjects) + while concurrent_statement.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/array_constraint.py b/vsg/vhdlFile/classify/array_constraint.py index 05e339efe..e416a2b16 100644 --- a/vsg/vhdlFile/classify/array_constraint.py +++ b/vsg/vhdlFile/classify/array_constraint.py @@ -1,51 +1,47 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import array_constraint as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import array_element_constraint, index_constraint -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ array_constraint ::= index_constraint [ array_element_constraint ] | ( open ) [ array_element_constraint ] """ - if open_detected(iToken, lObjects): - return classify(iToken, lObjects) - if index_constraint.detect(iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if open_detected(oDataStructure): + classify_open(oDataStructure) + array_element_constraint.detect(oDataStructure) + return True -def detect_discrete_subtype_indication(iToken, lObjects): - if utils.is_next_token("(", iToken, lObjects): - return index_constraint.classify(iToken, lObjects) - return iToken + if index_constraint.detect(oDataStructure): + index_constraint.classify(oDataStructure) + array_element_constraint.detect(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.find_next_token(iToken, lObjects) - if utils.is_next_token("open", iCurrent + 1, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("open", token.open_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - else: - iCurrent = index_constraint.classify(iCurrent, lObjects) - - iCurrent = array_element_constraint.detect(iCurrent, lObjects) - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def detect_discrete_subtype_indication(oDataStructure): + if oDataStructure.is_next_seek_token("("): + index_constraint.classify(oDataStructure) + return True + return False -def open_detected(iToken, lObjects): - if utils.is_next_token("(", iToken, lObjects): - if utils.find_in_next_n_tokens("open", 2, iToken, lObjects): - return True - return False +@decorators.print_classifier_debug_info(__name__) +def open_detected(oDataStructure): + return oDataStructure.are_next_consecutive_tokens(["(", "open"]) -def classify_index_constraint(iToken, lObjects): - print("--> classify_index_constraint") - return index_constraint.classify(iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify_open(oDataStructure): + oDataStructure.replace_next_token_with(token.open_parenthesis) + oDataStructure.replace_next_token_with(token.open_keyword) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/array_element_constraint.py b/vsg/vhdlFile/classify/array_element_constraint.py index 384bc08f0..34aba859d 100644 --- a/vsg/vhdlFile/classify/array_element_constraint.py +++ b/vsg/vhdlFile/classify/array_element_constraint.py @@ -1,10 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import element_constraint -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ array_element_constraint ::= element_constraint """ - return element_constraint.detect(iToken, lObjects) + return element_constraint.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/array_type_definition.py b/vsg/vhdlFile/classify/array_type_definition.py index 08b049310..84bb5cfab 100644 --- a/vsg/vhdlFile/classify/array_type_definition.py +++ b/vsg/vhdlFile/classify/array_type_definition.py @@ -1,24 +1,21 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( constrained_array_definition, unbounded_array_definition, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ array_type_definition ::= unbounded_array_definition | constrained_array_definition """ - iCurrent = unbounded_array_definition.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if unbounded_array_definition.detect(oDataStructure): + return True - iCurrent = constrained_array_definition.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - return iToken + return constrained_array_definition.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/assertion.py b/vsg/vhdlFile/classify/assertion.py index 002639f69..daa1a5706 100644 --- a/vsg/vhdlFile/classify/assertion.py +++ b/vsg/vhdlFile/classify/assertion.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import assertion as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import condition, expression -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ assertion ::= assert condition @@ -15,16 +16,14 @@ def classify(iToken, lObjects): The key to detecting this is looking for the keyword **assert** before a semicolon. """ - iCurrent = utils.assign_next_token_required("assert", token.keyword, iToken, lObjects) + oDataStructure.replace_next_token_required("assert", token.keyword) - iCurrent = condition.classify_until(["report", "severity", ";"], iCurrent, lObjects) + condition.classify_until(["report", "severity", ";"], oDataStructure) - if utils.is_next_token("report", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("report", token.report_keyword, iCurrent, lObjects) - iCurrent = expression.classify_until(["severity", ";"], iCurrent, lObjects) + if oDataStructure.is_next_token("report"): + oDataStructure.replace_next_token_with(token.report_keyword) + expression.classify_until(["severity", ";"], oDataStructure) - if utils.is_next_token("severity", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("severity", token.severity_keyword, iCurrent, lObjects) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) - - return iCurrent + if oDataStructure.is_next_token("severity"): + oDataStructure.replace_next_token_with(token.severity_keyword) + expression.classify_until([";"], oDataStructure) diff --git a/vsg/vhdlFile/classify/assertion_statement.py b/vsg/vhdlFile/classify/assertion_statement.py index 3f76ffd6a..4db487990 100644 --- a/vsg/vhdlFile/classify/assertion_statement.py +++ b/vsg/vhdlFile/classify/assertion_statement.py @@ -1,25 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import assertion_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import assertion +from vsg.vhdlFile.classify import assertion, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ assertion_statement ::= [ label : ] assertion ; """ - if utils.keyword_found("assert", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if utils.keyword_found("assert", oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label, token.label_colon) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) - iCurrent = assertion.classify(iCurrent, lObjects) + assertion.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/association_element.py b/vsg/vhdlFile/classify/association_element.py index 65cb7c753..ed7fff8da 100644 --- a/vsg/vhdlFile/classify/association_element.py +++ b/vsg/vhdlFile/classify/association_element.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import association_element as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import formal_part -def detect(iCurrent, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ association_element ::= [ formal_part => ] actual_part @@ -15,45 +16,39 @@ def detect(iCurrent, lObjects): association_element [)|,] """ - iOpenParenthesis = 0 - iCloseParenthesis = 0 - iToken = iCurrent - while not utils.token_is_semicolon(iToken, lObjects): - iToken = utils.find_next_token(iToken, lObjects) - if utils.token_is_open_parenthesis(iToken, lObjects): - iOpenParenthesis += 1 - if utils.token_is_close_parenthesis(iToken, lObjects): - iCloseParenthesis += 1 - if iCloseParenthesis == iOpenParenthesis + 1: - classify(iCurrent, iToken, lObjects, ")") - return iToken - if iCloseParenthesis == iOpenParenthesis: - if utils.token_is_comma(iToken, lObjects): - classify(iCurrent, iToken, lObjects, ",") - return iToken - iToken += 1 - return iToken - - -def classify(iStart, iEnd, lObjects, sEnd): - iCurrent = iStart - # Classify formal part if it exists - if formal_part_detected(iStart, iEnd, lObjects): - iCurrent = formal_part.classify(token.formal_part, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("=>", token.assignment, iCurrent, lObjects) - - # Classify actual part - for iCurrent in range(iCurrent, iEnd): - if utils.is_item(lObjects, iCurrent): - utils.assign_special_tokens(lObjects, iCurrent, token.actual_part) - - return iCurrent - - -def formal_part_detected(iStart, iEnd, lObjects): - iParen = 0 - for iIndex in range(iStart, iEnd): - iParen = utils.update_paren_counter(iIndex, lObjects, iParen) - if iParen == 0 and utils.object_value_is(lObjects, iIndex, "=>"): - return True + iParen = 1 + while not oDataStructure.is_next_seek_token(";"): + oDataStructure.advance_to_next_seek_token() + if oDataStructure.seek_token_lower_value_is("("): + iParen += 1 + elif oDataStructure.seek_token_lower_value_is(")"): + if iParen == 1: + classify(oDataStructure) + return True + iParen -= 1 + if iParen == 1: + if oDataStructure.seek_token_lower_value_is(","): + classify(oDataStructure) + return True + oDataStructure.increment_seek_index() return False + + +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.set_mark_index() + + classify_formal_part(oDataStructure) + classify_actual_part(oDataStructure) + + +@decorators.print_classifier_debug_info(__name__) +def classify_formal_part(oDataStructure): + if oDataStructure.does_string_exist_before_mark_index_honoring_parenthesis_hierarchy("=>"): + formal_part.classify(oDataStructure, token.formal_part) + oDataStructure.replace_next_token_with(token.assignment) + + +@decorators.print_classifier_debug_info(__name__) +def classify_actual_part(oDataStructure): + oDataStructure.replace_tokens_from_current_to_mark_with(token.actual_part) diff --git a/vsg/vhdlFile/classify/association_list.py b/vsg/vhdlFile/classify/association_list.py index bc3d38f80..190b9d33f 100644 --- a/vsg/vhdlFile/classify/association_list.py +++ b/vsg/vhdlFile/classify/association_list.py @@ -1,19 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import association_list as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import association_element -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ association_list ::= association_element { , association_element } """ - iCurrent = association_element.detect(iToken, lObjects) + association_element.detect(oDataStructure) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) - iCurrent = association_element.detect(iCurrent, lObjects) - - return iCurrent + while oDataStructure.is_next_token(","): + oDataStructure.replace_next_token_with(token.comma) + association_element.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/attribute_declaration.py b/vsg/vhdlFile/classify/attribute_declaration.py index 4a4cf7b91..54a0a8ca3 100644 --- a/vsg/vhdlFile/classify/attribute_declaration.py +++ b/vsg/vhdlFile/classify/attribute_declaration.py @@ -1,27 +1,28 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import attribute_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import type_mark -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ attribute_declaration ::= attribute identifier : type_mark ; """ - if utils.are_next_consecutive_tokens(["attribute", None, ":"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens(["attribute", None, ":"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("attribute", token.attribute_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("attribute", token.attribute_keyword) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = type_mark.classify(iCurrent, lObjects) + type_mark.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/attribute_name.py b/vsg/vhdlFile/classify/attribute_name.py index 792c74fa8..2d756f47d 100644 --- a/vsg/vhdlFile/classify/attribute_name.py +++ b/vsg/vhdlFile/classify/attribute_name.py @@ -1,49 +1,42 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators, parser from vsg.token import attribute_name as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import expression, signature +from vsg.vhdlFile.classify import expression, prefix, signature -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +@decorators.push_pop_seek_index +def detect(oDataStructure): """ attribute_name ::= prefix [ signature ] ' attribute_designator [ ( expression ) ] """ - # Skip over prefix - iCurrent = utils.find_next_token(iToken, lObjects) - iCurrent = utils.find_next_token(iCurrent + 1, lObjects) + skip_prefix(oDataStructure) - if utils.token_is_open_parenthesis(iCurrent, lObjects): - iCurrent += 1 - iCurrent = utils.skip_tokens_until_matching_closing_paren(iCurrent, lObjects) - iCurrent += 1 - - # Check for signature - if utils.is_next_token("[", iCurrent, lObjects): + if signature.detect(oDataStructure): return True - # Check for tic - if utils.is_next_token("'", iCurrent, lObjects): + if oDataStructure.is_next_seek_token("'"): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token(token.name, iToken, lObjects) - iCurrent = utils.find_next_token(iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def skip_prefix(oDataStructure): + oDataStructure.advance_to_next_seek_token() + oDataStructure.increment_seek_index() + oDataStructure.advance_seek_over_parenthesis() - if utils.token_is_open_parenthesis(iCurrent, lObjects): - iCurrent = utils.assign_token(lObjects, iCurrent, parser.open_parenthesis) - iCurrent = utils.assign_tokens_until_matching_closing_paren(parser.todo, iCurrent, lObjects) - iCurrent = utils.assign_token(lObjects, iCurrent, parser.close_parenthesis) - signature.detect(iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + prefix.classify(oDataStructure, token) - iCurrent = utils.assign_next_token_required("'", token.tic, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.attribute, iCurrent, lObjects) + if signature.detect(oDataStructure): + signature.classify(oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required("'", token.tic) + oDataStructure.replace_next_token_with(token.attribute) diff --git a/vsg/vhdlFile/classify/attribute_specification.py b/vsg/vhdlFile/classify/attribute_specification.py index a73c970e7..611778462 100644 --- a/vsg/vhdlFile/classify/attribute_specification.py +++ b/vsg/vhdlFile/classify/attribute_specification.py @@ -1,31 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import attribute_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import entity_specification, expression -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ attribute_specification ::= attribute attribute_designator of entity_specification is expression ; """ - if utils.are_next_consecutive_tokens(["attribute", None, "of"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens(["attribute", None, "of"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("attribute", token.attribute_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.attribute_designator, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("of", token.of_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.attribute_keyword) + oDataStructure.replace_next_token_with(token.attribute_designator) + oDataStructure.replace_next_token_required("of", token.of_keyword) - iCurrent = entity_specification.classify(iCurrent, lObjects) + entity_specification.classify(oDataStructure) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) + expression.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/binding_indication.py b/vsg/vhdlFile/classify/binding_indication.py index 0529508b9..8ee4bc455 100644 --- a/vsg/vhdlFile/classify/binding_indication.py +++ b/vsg/vhdlFile/classify/binding_indication.py @@ -1,35 +1,30 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import binding_indication as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import entity_aspect, generic_map_aspect, port_map_aspect -def detect(iToken, lObjects): - if utils.is_next_token("use", iToken, lObjects): - return classify(iToken, lObjects) - if utils.is_next_token("generic", iToken, lObjects): - return classify(iToken, lObjects) - if utils.is_next_token("port", iToken, lObjects): - return classify(iToken, lObjects) - return iToken - - -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ binding_indication ::= [ **use** entity_aspect ] [ generic_map_aspect ] [ port_map_aspect ] """ - iCurrent = iToken + if oDataStructure.is_next_token_one_of(["use", "generic", "port"]): + classify(oDataStructure) + return True + return False - if utils.is_next_token("use", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("use", token.use_keyword, iCurrent, lObjects) - iCurrent = entity_aspect.classify(iCurrent, lObjects) - iCurrent = generic_map_aspect.detect(iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if oDataStructure.is_next_token("use"): + oDataStructure.replace_next_token_with(token.use_keyword) + entity_aspect.classify(oDataStructure) - iCurrent = port_map_aspect.detect(iCurrent, lObjects) + generic_map_aspect.detect(oDataStructure) - return iCurrent + port_map_aspect.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/bit_string_literal.py b/vsg/vhdlFile/classify/bit_string_literal.py index 85eea5ee9..65e7ce3dc 100644 --- a/vsg/vhdlFile/classify/bit_string_literal.py +++ b/vsg/vhdlFile/classify/bit_string_literal.py @@ -2,36 +2,38 @@ import re +from vsg import decorators from vsg.token import bit_string_literal as token -from vsg.vhdlFile import utils oIntegerRegex = re.compile(r"\d+") oBaseSpecifierRegex = re.compile(r"(([us]?[box])|d)") oBitValueStringRegex = re.compile(r'"[0-9a-fhluwxz\-_]*"') -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ bit_string_literal ::= [ integer ] base_specifier " [ bit_value ] " """ - - iCurrent = utils.find_next_token(iToken, lObjects) - if utils.matches_next_token(oIntegerRegex, iToken, lObjects): - iCurrent += 1 - if utils.matches_next_token(oBaseSpecifierRegex, iCurrent, lObjects): - iCurrent = utils.find_next_token(iCurrent, lObjects) - iCurrent += 1 - if utils.matches_next_token(oBitValueStringRegex, iCurrent, lObjects): - return classify(iToken, lObjects) - return iToken - - -def classify(iToken, lObjects): - if utils.matches_next_token(oIntegerRegex, iToken, lObjects): - iCurrent = utils.assign_next_token(token.integer, iToken, lObjects) - else: - iCurrent = iToken - iCurrent = utils.assign_next_token(token.base_specifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.bit_value_string, iCurrent, lObjects) - return iCurrent + bInt = False + if oDataStructure.does_seek_token_match_regex(oIntegerRegex): + oDataStructure.increment_seek_index() + oDataStructure.advance_to_next_seek_token() + bInt = True + if oDataStructure.does_seek_token_match_regex(oBaseSpecifierRegex): + oDataStructure.increment_seek_index() + oDataStructure.advance_to_next_seek_token() + + if oDataStructure.does_seek_token_match_regex(oBitValueStringRegex): + classify(oDataStructure, bInt) + return True + return False + + +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure, bInt): + if bInt: + oDataStructure.replace_next_token_with(token.integer) + oDataStructure.replace_next_token_with(token.base_specifier) + oDataStructure.replace_next_token_with(token.bit_value_string) diff --git a/vsg/vhdlFile/classify/block_configuration.py b/vsg/vhdlFile/classify/block_configuration.py index 16309c543..8bd6dbae3 100644 --- a/vsg/vhdlFile/classify/block_configuration.py +++ b/vsg/vhdlFile/classify/block_configuration.py @@ -1,16 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import block_configuration as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import ( - block_specification, - configuration_item, - use_clause, - utils as c_utils, -) +from vsg.vhdlFile.classify import block_specification, configuration_item, use_clause -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ block_configuration ::= for block_specification @@ -19,22 +15,25 @@ def detect(iToken, lObjects): end for ; """ - if utils.is_next_token("for", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("for"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("for", token.for_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.for_keyword) - iCurrent = block_specification.classify(iCurrent, lObjects) + block_specification.classify(oDataStructure) - iCurrent = c_utils.classify_production(use_clause, iCurrent, lObjects) - iCurrent = c_utils.classify_production(configuration_item, iCurrent, lObjects) + while use_clause.detect(oDataStructure): + pass - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("for", token.end_for_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.unspecified, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + while configuration_item.detect(oDataStructure): + pass - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("for", token.end_for_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.unspecified) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/block_declarative_item.py b/vsg/vhdlFile/classify/block_declarative_item.py index 59dd91b78..00554d0f0 100644 --- a/vsg/vhdlFile/classify/block_declarative_item.py +++ b/vsg/vhdlFile/classify/block_declarative_item.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( alias_declaration, attribute_declaration, @@ -25,7 +26,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ block_declarative_item ::= subprogram_declaration @@ -54,85 +56,65 @@ def detect(iToken, lObjects): | PSL_Clock_Declaration """ - iReturn = subprogram_declaration.detect(iToken, lObjects) - if iReturn != iToken: - iReturn = subprogram_body.detect(iReturn, lObjects) - return iReturn + if subprogram_declaration.detect(oDataStructure): + subprogram_body.detect(oDataStructure) + return True - iReturn = subprogram_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subprogram_instantiation_declaration.detect(oDataStructure): + return True - iReturn = package_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_declaration.detect(oDataStructure): + return True - iReturn = package_body.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_body.detect(oDataStructure): + return True - iReturn = package_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_instantiation_declaration.detect(oDataStructure): + return True - iReturn = type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if type_declaration.detect(oDataStructure): + return True - iReturn = subtype_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subtype_declaration.detect(oDataStructure): + return True - iReturn = constant_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if constant_declaration.detect(oDataStructure): + return True - iReturn = signal_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if signal_declaration.detect(oDataStructure): + return True - iReturn = variable_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if variable_declaration.detect(oDataStructure): + return True - iReturn = file_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if file_declaration.detect(oDataStructure): + return True - iReturn = alias_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if alias_declaration.detect(oDataStructure): + return True - iReturn = component_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if component_declaration.detect(oDataStructure): + return True - iReturn = attribute_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_declaration.detect(oDataStructure): + return True - iReturn = attribute_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_specification.detect(oDataStructure): + return True - iReturn = use_clause.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if use_clause.detect(oDataStructure): + return True - iReturn = configuration_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if configuration_specification.detect(oDataStructure): + return True - iReturn = psl_clock_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if configuration_specification.detect(oDataStructure): + return True - iReturn = psl_property_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if psl_clock_declaration.detect(oDataStructure): + return True - iReturn = psl_sequence_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if psl_property_declaration.detect(oDataStructure): + return True - return iToken + return psl_sequence_declaration.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/block_declarative_part.py b/vsg/vhdlFile/classify/block_declarative_part.py index 31660273c..ff7123cc4 100644 --- a/vsg/vhdlFile/classify/block_declarative_part.py +++ b/vsg/vhdlFile/classify/block_declarative_part.py @@ -1,13 +1,15 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import block_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ block_declarative_part ::= { block_declarative_item } """ - return utils.detect_submodule(iToken, lObjects, block_declarative_item) + while block_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/block_header.py b/vsg/vhdlFile/classify/block_header.py index 74a5e9305..000375f85 100644 --- a/vsg/vhdlFile/classify/block_header.py +++ b/vsg/vhdlFile/classify/block_header.py @@ -1,7 +1,7 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import block_header as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( generic_clause, generic_map_aspect, @@ -10,7 +10,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ block_header ::= [ generic_clause @@ -19,18 +20,14 @@ def detect(iToken, lObjects): [ port_map_aspect ; ] ] """ - iCurrent = generic_clause.detect(iToken, lObjects) + if generic_clause.detect(oDataStructure): + generic_clause.classify(oDataStructure) - iLast = iCurrent - iCurrent = generic_map_aspect.detect(iCurrent, lObjects) - if iLast != iCurrent: - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + if generic_map_aspect.detect(oDataStructure): + oDataStructure.replace_next_token_required(";", token.semicolon) - iCurrent = port_clause.detect(iCurrent, lObjects) + if port_clause.detect(oDataStructure): + port_clause.classify(oDataStructure) - iLast = iCurrent - iCurrent = port_map_aspect.detect(iCurrent, lObjects) - if iLast != iCurrent: - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + if port_map_aspect.detect(oDataStructure): + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/block_specification.py b/vsg/vhdlFile/classify/block_specification.py index bfdc9a306..09d9fde39 100644 --- a/vsg/vhdlFile/classify/block_specification.py +++ b/vsg/vhdlFile/classify/block_specification.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import block_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import generate_specification -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ block_specification ::= architecture_name @@ -13,12 +14,10 @@ def classify(iToken, lObjects): | generate_statement_label [ ( generate_specification ) ] """ - if utils.find_in_next_n_tokens("(", 2, iToken, lObjects): - iCurrent = utils.assign_next_token(token.generate_statement_label, iToken, lObjects) - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = generate_specification.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) + if oDataStructure.does_string_exist_in_next_n_tokens("(", 2): + oDataStructure.replace_next_token_with(token.generate_statement_label) + oDataStructure.replace_next_token_required("(", token.open_parenthesis) + generate_specification.classify(oDataStructure) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) else: - iCurrent = utils.assign_next_token(token.architecture_name, iToken, lObjects) - - return iCurrent + oDataStructure.replace_next_token_with(token.architecture_name) diff --git a/vsg/vhdlFile/classify/block_statement.py b/vsg/vhdlFile/classify/block_statement.py index a29782fc4..c356f4ebd 100644 --- a/vsg/vhdlFile/classify/block_statement.py +++ b/vsg/vhdlFile/classify/block_statement.py @@ -1,15 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import block_statement as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( block_declarative_part, block_header, block_statement_part, + utils, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ block_statement ::= block_label : @@ -21,33 +23,33 @@ def detect(iToken, lObjects): end block [ block_label ] ; """ - if utils.are_next_consecutive_tokens([None, ":", "block"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens([None, ":", "block"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.block_label, token.label_colon) - iCurrent = utils.assign_next_token_required("block", token.block_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.block_label, token.label_colon) + oDataStructure.replace_next_token_with(token.block_keyword) - if utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("(", token.guard_open_parenthesis, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(")", token.guard_condition, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.guard_close_parenthesis, iCurrent, lObjects) + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.guard_open_parenthesis) + oDataStructure.replace_next_token_with_if_not(")", token.guard_condition) + oDataStructure.replace_next_token_required(")", token.guard_close_parenthesis) - iCurrent = utils.assign_next_token_if("is", token.is_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("is", token.is_keyword) - iCurrent = block_header.detect(iCurrent, lObjects) + block_header.detect(oDataStructure) - iCurrent = block_declarative_part.detect(iCurrent, lObjects) + block_declarative_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("begin", token.begin_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("begin", token.begin_keyword) - iCurrent = block_statement_part.detect(iCurrent, lObjects) + block_statement_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("block", token.end_block_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_block_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("block", token.end_block_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.end_block_label) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/block_statement_part.py b/vsg/vhdlFile/classify/block_statement_part.py index eacd05b30..e3b41c730 100644 --- a/vsg/vhdlFile/classify/block_statement_part.py +++ b/vsg/vhdlFile/classify/block_statement_part.py @@ -1,13 +1,15 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import concurrent_statement -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ block_statement_part ::= { concurrent_statement } """ - return utils.detect_submodule(iToken, lObjects, concurrent_statement) + while concurrent_statement.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/case_generate_alternative.py b/vsg/vhdlFile/classify/case_generate_alternative.py index fee312c27..87edebd3d 100644 --- a/vsg/vhdlFile/classify/case_generate_alternative.py +++ b/vsg/vhdlFile/classify/case_generate_alternative.py @@ -1,33 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import case_generate_alternative as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import choices, generate_statement_body +from vsg.vhdlFile.classify import choices, generate_statement_body, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ case_generate_alternative ::= when [ alternative_label : ] choices => generate_statement_body """ - if utils.is_next_token("when", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("when"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("when", token.when_keyword) - if utils.are_next_consecutive_tokens([None, ":"], iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.alternative_label_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.alternative_label_colon, iCurrent, lObjects) + utils.tokenize_label(oDataStructure, token.alternative_label_name, token.alternative_label_colon) - iCurrent = choices.classify_until(["=>"], iCurrent, lObjects) + choices.classify_until(["=>"], oDataStructure) - iCurrent = utils.assign_next_token_required("=>", token.assignment, iCurrent, lObjects) + oDataStructure.replace_next_token_required("=>", token.assignment) - iCurrent = generate_statement_body.classify(iCurrent, lObjects) - - return iCurrent + generate_statement_body.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/case_generate_statement.py b/vsg/vhdlFile/classify/case_generate_statement.py index fa3714a92..72d153e60 100644 --- a/vsg/vhdlFile/classify/case_generate_statement.py +++ b/vsg/vhdlFile/classify/case_generate_statement.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import case_generate_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import case_generate_alternative, expression +from vsg.vhdlFile.classify import case_generate_alternative, expression, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ case_generate_statement ::= *generate*_label : @@ -15,29 +16,30 @@ def detect(iToken, lObjects): end generate [ *generate*_label ] ; """ - if utils.are_next_consecutive_tokens([None, ":", "case"], iToken, lObjects): - return classify(iToken, lObjects) - if utils.are_next_consecutive_tokens(["case"], iToken, lObjects): + if oDataStructure.are_next_consecutive_tokens([None, ":", "case"]): + classify(oDataStructure) + return True + if oDataStructure.is_next_token("case"): iIndex = utils.find_next_token(iToken, lObjects) oToken = token.case_keyword(lObjects[iToken].get_value()) utils.print_error_message("generate_label", oToken, iIndex, lObjects) - return iToken + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.generate_label, token.label_colon) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.generate_label, token.label_colon) - iCurrent = utils.assign_next_token_required("case", token.case_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("case", token.case_keyword) - iCurrent = expression.classify_until(["generate"], iCurrent, lObjects) + expression.classify_until(["generate"], oDataStructure) - iCurrent = utils.assign_next_token_required("generate", token.generate_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("generate", token.generate_keyword) - iToken = utils.detect_submodule(iToken, lObjects, case_generate_alternative) + while case_generate_alternative.detect(oDataStructure): + pass - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("generate", token.end_generate_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_generate_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("generate", token.end_generate_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.end_generate_label) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/case_statement.py b/vsg/vhdlFile/classify/case_statement.py index 11871e26b..ac1478bce 100644 --- a/vsg/vhdlFile/classify/case_statement.py +++ b/vsg/vhdlFile/classify/case_statement.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import case_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import case_statement_alternative, expression +from vsg.vhdlFile.classify import case_statement_alternative, expression, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ case_statement ::= [ *case*_label : ] @@ -14,26 +15,27 @@ def detect(iToken, lObjects): { case_statement_alternative } end case [ ? ] [ case_label ] ; """ - if utils.keyword_found("case", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if utils.keyword_found("case", oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.case_label, token.label_colon) - iCurrent = utils.assign_next_token_required("case", token.case_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("?", token.question_mark, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.case_label, token.label_colon) + oDataStructure.replace_next_token_required("case", token.case_keyword) + oDataStructure.replace_next_token_with_if("?", token.question_mark) - iCurrent = expression.classify_until(["is"], iCurrent, lObjects) + expression.classify_until(["is"], oDataStructure) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = utils.detect_submodule(iCurrent, lObjects, case_statement_alternative) + while case_statement_alternative.detect(oDataStructure): + pass - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("case", token.end_case_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("?", token.question_mark, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_case_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("case", token.end_case_keyword) + oDataStructure.replace_next_token_with_if("?", token.question_mark) + oDataStructure.replace_next_token_with_if_not(";", token.end_case_label) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/case_statement_alternative.py b/vsg/vhdlFile/classify/case_statement_alternative.py index 63d0c6a0c..8c34273ed 100644 --- a/vsg/vhdlFile/classify/case_statement_alternative.py +++ b/vsg/vhdlFile/classify/case_statement_alternative.py @@ -1,28 +1,29 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import case_statement_alternative as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import choices, sequence_of_statements -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ case_statement_alternative ::= when choices => sequence_of_statements """ - if utils.is_next_token("when", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("when"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("when", token.when_keyword) - iCurrent = choices.classify_until(["=>"], iCurrent, lObjects) + choices.classify_until(["=>"], oDataStructure) - iCurrent = utils.assign_next_token_required("=>", token.assignment, iCurrent, lObjects) + oDataStructure.replace_next_token_required("=>", token.assignment) - iCurrent = sequence_of_statements.detect(iCurrent, lObjects) - - return iCurrent + sequence_of_statements.detect(oDataStructure, ["when", "end"]) diff --git a/vsg/vhdlFile/classify/character_literal.py b/vsg/vhdlFile/classify/character_literal.py new file mode 100644 index 000000000..639b2fa8c --- /dev/null +++ b/vsg/vhdlFile/classify/character_literal.py @@ -0,0 +1,22 @@ +# -*- coding: utf-8 -*- + +from vsg import decorators, parser + + +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): + """ + character_literal ::= + ' graphic_character ' + """ + oDataStructure.advance_to_next_seek_token() + sValue = oDataStructure.get_seek_token_lower_value() + if len(sValue) == 3 and sValue.startswith("'") and sValue.endswith("'"): + classify(oDataStructure) + return True + return False + + +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(parser.character_literal) diff --git a/vsg/vhdlFile/classify/choice.py b/vsg/vhdlFile/classify/choice.py index 8a5e7b7d1..64b345b5d 100644 --- a/vsg/vhdlFile/classify/choice.py +++ b/vsg/vhdlFile/classify/choice.py @@ -1,11 +1,11 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators, parser from vsg.token import choice as token -from vsg.vhdlFile import utils -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ choice ::= simple_expression @@ -13,15 +13,8 @@ def classify_until(lUntils, iToken, lObjects): | *element*_simple_name | **others** """ - iParen = 0 - for iIndex in range(iToken, len(lObjects)): - iParen = utils.update_paren_counter(iIndex, lObjects, iParen) - if utils.is_next_token_in_list(lUntils, iIndex, lObjects) and iParen == 0: - return iIndex - if utils.is_item(lObjects, iIndex): - if utils.is_next_token("others", iIndex, lObjects): - utils.assign_next_token_required("others", token.others_keyword, iIndex, lObjects) - else: - utils.assign_next_token(parser.todo, iIndex, lObjects) - - return iToken + if oDataStructure.is_next_token("others"): + oDataStructure.replace_next_token_with(token.others_keyword) + else: + while not oDataStructure.is_next_token_one_of(lUntils): + oDataStructure.replace_next_token_with(parser.todo) diff --git a/vsg/vhdlFile/classify/choices.py b/vsg/vhdlFile/classify/choices.py index bd36e4c23..cd3da45f9 100644 --- a/vsg/vhdlFile/classify/choices.py +++ b/vsg/vhdlFile/classify/choices.py @@ -1,23 +1,21 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import choices as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import choice -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ choices ::= choice { | choice } """ - iCurrent = iToken - iLast = 0 + lMyUntils = lUntils lMyUntils.append("|") - while iLast != iCurrent: - iLast = iCurrent - iCurrent = choice.classify_until(lMyUntils, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("|", token.bar, iCurrent, lObjects) - - return iCurrent + choice.classify_until(lMyUntils, oDataStructure) + while oDataStructure.is_next_token("|"): + oDataStructure.replace_next_token_with(token.bar) + choice.classify_until(lMyUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/component_configuration.py b/vsg/vhdlFile/classify/component_configuration.py index 2b5ea6588..424aa2ec3 100644 --- a/vsg/vhdlFile/classify/component_configuration.py +++ b/vsg/vhdlFile/classify/component_configuration.py @@ -1,7 +1,7 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import component_configuration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( binding_indication, block_configuration, @@ -9,15 +9,8 @@ ) -def detect(iToken, lObjects): - if utils.is_next_token("for", iToken, lObjects): - iCurrent = utils.find_next_token(iToken, lObjects) + 1 - if component_specification.detect(iCurrent, lObjects): - return classify(iToken, lObjects) - return iToken - - -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ component_configuration ::= for component_specification @@ -27,19 +20,25 @@ def classify(iToken, lObjects): end for ; """ - iCurrent = utils.assign_next_token_required("for", token.for_keyword, iToken, lObjects) + if oDataStructure.is_next_token("for"): + oDataStructure.increment_seek_index() + if component_specification.detect(oDataStructure): + classify(oDataStructure) + return True + return False + - iCurrent = component_specification.classify(iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("for", token.for_keyword) - iPrevious = iCurrent - iCurrent = binding_indication.detect(iCurrent, lObjects) - if not iPrevious == iCurrent: - iCurrent = utils.assign_next_token_required(";", token.binding_indication_semicolon, iCurrent, lObjects) + component_specification.classify(oDataStructure) - iCurrent = block_configuration.detect(iCurrent, lObjects) + if binding_indication.detect(oDataStructure): + oDataStructure.replace_next_token_required(";", token.binding_indication_semicolon) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("for", token.end_for_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + block_configuration.detect(oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("for", token.end_for_keyword) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/component_declaration.py b/vsg/vhdlFile/classify/component_declaration.py index 6d91825ff..2e01bff8a 100644 --- a/vsg/vhdlFile/classify/component_declaration.py +++ b/vsg/vhdlFile/classify/component_declaration.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import component_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import generic_clause, port_clause -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ component_declaration ::= component identifier [ is ] @@ -14,36 +15,25 @@ def detect(iToken, lObjects): end component [ *component*_simple_name ] ; """ - if utils.is_next_token("component", iToken, lObjects): - return classify(iToken, lObjects) - else: - return iToken + if oDataStructure.is_next_token("component"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = classify_opening_declaration(iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.component_keyword) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_with_if("is", token.is_keyword) - iCurrent = generic_clause.detect(iCurrent, lObjects) + if generic_clause.detect(oDataStructure): + generic_clause.classify(oDataStructure) - iCurrent = port_clause.detect(iCurrent, lObjects) + if port_clause.detect(oDataStructure): + port_clause.classify(oDataStructure) - iCurrent = classify_closing_declaration(iCurrent, lObjects) - - return iCurrent - - -def classify_opening_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("component", token.component_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("is", token.is_keyword, iCurrent, lObjects) - - return iCurrent - - -def classify_closing_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("component", token.end_component_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.component_simple_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("component", token.end_component_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.component_simple_name) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/component_instantiation_statement.py b/vsg/vhdlFile/classify/component_instantiation_statement.py index c85d2dab0..4772805dc 100644 --- a/vsg/vhdlFile/classify/component_instantiation_statement.py +++ b/vsg/vhdlFile/classify/component_instantiation_statement.py @@ -1,11 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import component_instantiation_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import generic_map_aspect, instantiated_unit, port_map_aspect +from vsg.vhdlFile.classify import ( + generic_map_aspect, + instantiated_unit, + port_map_aspect, + utils, +) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ component_instantiation_statement ::= instantiation_label : @@ -13,26 +19,27 @@ def detect(iToken, lObjects): [ generic_map_aspect ] [ port_map_aspect ] ; """ - iCurrent = utils.find_next_token(iToken, lObjects) - iCurrent = utils.increment_token_count(iCurrent) - iCurrent = utils.find_next_token(iCurrent, lObjects) - if not utils.object_value_is(lObjects, iCurrent, ":"): - return iToken - iCurrent = utils.increment_token_count(iCurrent) - if instantiated_unit.detect(iCurrent, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens([None, ":"]): + oDataStructure.advance_to_next_seek_token() + oDataStructure.increment_seek_index() + oDataStructure.advance_to_next_seek_token() + oDataStructure.increment_seek_index() + oDataStructure.advance_to_next_seek_token() + if instantiated_unit.detect(oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.instantiation_label, token.label_colon) - iCurrent = instantiated_unit.classify(iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.instantiation_label, token.label_colon) - iCurrent = generic_map_aspect.detect(iCurrent, lObjects) + instantiated_unit.classify(oDataStructure) - iCurrent = port_map_aspect.detect(iCurrent, lObjects) + generic_map_aspect.detect(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + port_map_aspect.detect(oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/component_specification.py b/vsg/vhdlFile/classify/component_specification.py index a07a7445a..b5ab4ea87 100644 --- a/vsg/vhdlFile/classify/component_specification.py +++ b/vsg/vhdlFile/classify/component_specification.py @@ -1,33 +1,28 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import component_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import instantiation_list -def detect(iToken, lObjects): - iCurrent = iToken - - while utils.find_in_next_n_tokens(",", 2, iCurrent, lObjects): - iCurrent = utils.find_next_token(iCurrent, lObjects) - iCurrent = utils.find_next_token(iCurrent + 1, lObjects) - - if utils.find_in_next_n_tokens(":", 2, iCurrent, lObjects): - return True - return False - - -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ component_specification ::= instantiation_list : component_name """ - iCurrent = iToken + while oDataStructure.does_string_exist_in_next_n_tokens_from_seek_index(",", 2): + oDataStructure.increment_seek_index() + + if oDataStructure.does_string_exist_in_next_n_tokens_from_seek_index(":", 2): + return True + return False - iCurrent = instantiation_list.classify(iToken, lObjects) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.component_name, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + instantiation_list.classify(oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(":", token.colon) + oDataStructure.replace_next_token_with(token.component_name) diff --git a/vsg/vhdlFile/classify/composite_type_definition.py b/vsg/vhdlFile/classify/composite_type_definition.py index c5efc5c8f..b9f2f7a62 100644 --- a/vsg/vhdlFile/classify/composite_type_definition.py +++ b/vsg/vhdlFile/classify/composite_type_definition.py @@ -1,22 +1,18 @@ # -*- coding: utf-8 -*- - +from vsg import decorators from vsg.vhdlFile.classify import array_type_definition, record_type_definition -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ composite_type_definition ::= array_type_definition | record_type_definition """ - iCurrent = array_type_definition.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - iCurrent = record_type_definition.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if array_type_definition.detect(oDataStructure): + return True - return iToken + return record_type_definition.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/concurrent_assertion_statement.py b/vsg/vhdlFile/classify/concurrent_assertion_statement.py index 314847148..560f2e49a 100644 --- a/vsg/vhdlFile/classify/concurrent_assertion_statement.py +++ b/vsg/vhdlFile/classify/concurrent_assertion_statement.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import concurrent_assertion_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import assertion +from vsg.vhdlFile.classify import assertion, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ concurrent_assertion_statement ::= [ label : ] [ postponed ] assertion ; @@ -17,18 +18,23 @@ def detect(iToken, lObjects): """ - if utils.find_in_next_n_tokens("assert", 4, iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if ( + oDataStructure.are_next_consecutive_tokens([None, ":", "postponed", "assert"]) + or oDataStructure.are_next_consecutive_tokens([None, ":", "assert"]) + or oDataStructure.are_next_consecutive_tokens(["postponed", "assert"]) + or oDataStructure.is_next_token("assert") + ): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label_name, token.label_colon) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) - iCurrent = utils.assign_next_token_if("postponed", token.postponed_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("postponed", token.postponed_keyword) - iCurrent = assertion.classify(iCurrent, lObjects) + assertion.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/concurrent_conditional_signal_assignment.py b/vsg/vhdlFile/classify/concurrent_conditional_signal_assignment.py index 55898e41c..d2e84f74e 100644 --- a/vsg/vhdlFile/classify/concurrent_conditional_signal_assignment.py +++ b/vsg/vhdlFile/classify/concurrent_conditional_signal_assignment.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import concurrent_conditional_signal_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import conditional_waveforms, delay_mechanism +from vsg.vhdlFile.classify import conditional_waveforms, delay_mechanism, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ [ label : ] [ postponed ] concurrent_conditional_signal_assignment @@ -21,40 +22,40 @@ def detect(iToken, lObjects): The key to detecting this is looking for an assignment <= followed by the keyword **when** before a semicolon. """ - iCurrent = iToken bAssignmentFound = False - - while lObjects[iCurrent].get_value() != ";": - if utils.is_item(lObjects, iCurrent): - if bAssignmentFound: - if utils.object_value_is(lObjects, iCurrent, "when"): - return True - else: - if utils.object_value_is(lObjects, iCurrent, "when"): - return False - if utils.object_value_is(lObjects, iCurrent, "with"): - return False - - if utils.object_value_is(lObjects, iCurrent, "<=") and not bAssignmentFound: + while not oDataStructure.seek_token_lower_value_is(";"): + if bAssignmentFound: + if oDataStructure.seek_token_lower_value_is("when"): + return True + else: + if oDataStructure.seek_token_lower_value_is("when"): + return False + if oDataStructure.seek_token_lower_value_is("with"): + return False + + if oDataStructure.seek_token_lower_value_is("<="): bAssignmentFound = True - iCurrent += 1 + + oDataStructure.increment_seek_index() + oDataStructure.advance_to_next_seek_token() return False -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ concurrent_conditional_signal_assignment ::= target <= [ guarded ] [ delay_mechanism ] conditional_waveforms ; """ - iCurrent = utils.assign_tokens_until("<=", token.target, iToken, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("guarded", token.guarded_keyword, iCurrent, lObjects) + utils.assign_tokens_until("<=", token.target, oDataStructure) + + oDataStructure.replace_next_token_required("<=", token.assignment) - iCurrent = delay_mechanism.detect(iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("guarded", token.guarded_keyword) - iCurrent = conditional_waveforms.classify_until([";"], iCurrent, lObjects) + delay_mechanism.detect(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + conditional_waveforms.classify_until([";"], oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/concurrent_procedure_call_statement.py b/vsg/vhdlFile/classify/concurrent_procedure_call_statement.py index 8309d1192..d67a58d4d 100644 --- a/vsg/vhdlFile/classify/concurrent_procedure_call_statement.py +++ b/vsg/vhdlFile/classify/concurrent_procedure_call_statement.py @@ -1,20 +1,28 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import concurrent_procedure_call_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import procedure_call +from vsg.vhdlFile.classify import procedure_call, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ concurrent_procedure_call_statement ::= [ label : ] [ postponed ] procedure_call ; """ - iCurrent = iToken - if procedure_call.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label_name, token.label_colon) - iCurrent = utils.tokenize_postponed(iCurrent, lObjects, token.postponed_keyword) - iCurrent = procedure_call.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + + if procedure_call.detect(oDataStructure): + classify(oDataStructure) + return True + return False + + +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + + oDataStructure.replace_next_token_with_if("postponed", token.postponed_keyword) + + procedure_call.classify(oDataStructure) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/concurrent_selected_signal_assignment.py b/vsg/vhdlFile/classify/concurrent_selected_signal_assignment.py index 50266d0f4..363c84cfd 100644 --- a/vsg/vhdlFile/classify/concurrent_selected_signal_assignment.py +++ b/vsg/vhdlFile/classify/concurrent_selected_signal_assignment.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import concurrent_selected_signal_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import delay_mechanism, expression, selected_waveforms +from vsg.vhdlFile.classify import delay_mechanism, expression, selected_waveforms, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ concurrent_selected_signal_assignment ::= with expression select [ ? ] @@ -13,29 +14,29 @@ def detect(iToken, lObjects): The key to detecting this is looking for the **with** keyword before the **select** keyword. """ - if utils.find_in_next_n_tokens("with", 4, iToken, lObjects): - if not utils.find_in_next_n_tokens("end", 1, iToken, lObjects): + if oDataStructure.does_string_exist_in_next_n_tokens("with", 4): + if not oDataStructure.does_string_exist_in_next_n_tokens("end", 1): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("with", token.with_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("with", token.with_keyword) - iCurrent = expression.classify_until(["select"], iCurrent, lObjects) + expression.classify_until(["select"], oDataStructure) - iCurrent = utils.assign_next_token_required("select", token.select_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("?", token.question_mark, iCurrent, lObjects) + oDataStructure.replace_next_token_required("select", token.select_keyword) + oDataStructure.replace_next_token_with_if("?", token.question_mark) - iCurrent = utils.assign_tokens_until("<=", token.target, iCurrent, lObjects) + utils.assign_tokens_until("<=", token.target, oDataStructure) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("guarded", token.guarded_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("<=", token.assignment) - iCurrent = delay_mechanism.detect(iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("guarded", token.guarded_keyword) - selected_waveforms.classify_until([";"], iToken, lObjects) + delay_mechanism.detect(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + selected_waveforms.classify_until([";"], oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/concurrent_signal_assignment_statement.py b/vsg/vhdlFile/classify/concurrent_signal_assignment_statement.py index 0e9dae00d..7d80efcd9 100644 --- a/vsg/vhdlFile/classify/concurrent_signal_assignment_statement.py +++ b/vsg/vhdlFile/classify/concurrent_signal_assignment_statement.py @@ -1,15 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import concurrent_signal_assignment_statement as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( concurrent_conditional_signal_assignment, concurrent_selected_signal_assignment, concurrent_simple_signal_assignment, + utils, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ concurrent_signal_assignment_statement ::= [ label : ] [ postponed ] concurrent_simple_signal_assignment @@ -17,20 +19,28 @@ def detect(iToken, lObjects): | [ label : ] [ postponed ] concurrent_selected_signal_assignment """ - iCurrent = iToken - if concurrent_selected_signal_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = utils.tokenize_postponed(iCurrent, lObjects, token.postponed_keyword) - iCurrent = concurrent_selected_signal_assignment.classify(iCurrent, lObjects) + oDataStructure.push_seek_index() + if concurrent_selected_signal_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + utils.tokenize_postponed(oDataStructure, token.postponed_keyword) + concurrent_selected_signal_assignment.classify(oDataStructure) + return True - elif concurrent_conditional_signal_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = utils.tokenize_postponed(iCurrent, lObjects, token.postponed_keyword) - iCurrent = concurrent_conditional_signal_assignment.classify(iCurrent, lObjects) + oDataStructure.pop_seek_index() + oDataStructure.push_seek_index() + if concurrent_conditional_signal_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + utils.tokenize_postponed(oDataStructure, token.postponed_keyword) + concurrent_conditional_signal_assignment.classify(oDataStructure) + return True - elif concurrent_simple_signal_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = utils.tokenize_postponed(iCurrent, lObjects, token.postponed_keyword) - iCurrent = concurrent_simple_signal_assignment.classify(iCurrent, lObjects) + oDataStructure.pop_seek_index() + oDataStructure.push_seek_index() + if concurrent_simple_signal_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + utils.tokenize_postponed(oDataStructure, token.postponed_keyword) + concurrent_simple_signal_assignment.classify(oDataStructure) + return True - return iCurrent + oDataStructure.pop_seek_index() + return False diff --git a/vsg/vhdlFile/classify/concurrent_simple_signal_assignment.py b/vsg/vhdlFile/classify/concurrent_simple_signal_assignment.py index 97fa81420..d4852b21f 100644 --- a/vsg/vhdlFile/classify/concurrent_simple_signal_assignment.py +++ b/vsg/vhdlFile/classify/concurrent_simple_signal_assignment.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import concurrent_simple_signal_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import delay_mechanism, waveform +from vsg.vhdlFile.classify import delay_mechanism, utils, waveform -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ [ label : ] [ postponed ] concurrent_simple_signal_assignment @@ -16,22 +17,21 @@ def detect(iToken, lObjects): This will be the default if the other types are not found. """ - if not utils.assignment_operator_found(iToken, lObjects): - return False - if utils.find_in_range("when", iToken, ";", lObjects): - return False - return True + if oDataStructure.does_string_exist_before_string_honoring_parenthesis_hierarchy("<=", ";"): + if oDataStructure.does_string_exist_before_string("when", ";"): + return False + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_tokens_until("<=", token.target, iToken, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("guarded", token.guarded_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.assign_tokens_until("<=", token.target, oDataStructure) + oDataStructure.replace_next_token_required("<=", token.assignment) + oDataStructure.replace_next_token_with_if("guarded", token.guarded_keyword) - iCurrent = delay_mechanism.detect(iCurrent, lObjects) + delay_mechanism.detect(oDataStructure) - iCurrent = waveform.classify_until([";"], iCurrent, lObjects) + waveform.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/concurrent_statement.py b/vsg/vhdlFile/classify/concurrent_statement.py index 174699fae..db134ee8a 100644 --- a/vsg/vhdlFile/classify/concurrent_statement.py +++ b/vsg/vhdlFile/classify/concurrent_statement.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( block_statement, component_instantiation_statement, @@ -12,7 +13,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ concurrent_statement ::= block_statement @@ -25,36 +27,25 @@ def detect(iToken, lObjects): | PSL_PSL_Directive """ - iCurrent = process_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if process_statement.detect(oDataStructure): + return True - iCurrent = block_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if block_statement.detect(oDataStructure): + return True - iCurrent = generate_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if generate_statement.detect(oDataStructure): + return True - iCurrent = psl_psl_directive.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if psl_psl_directive.detect(oDataStructure): + return True - iCurrent = concurrent_assertion_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if concurrent_assertion_statement.detect(oDataStructure): + return True - iCurrent = concurrent_signal_assignment_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if concurrent_signal_assignment_statement.detect(oDataStructure): + return True - iCurrent = concurrent_procedure_call_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if concurrent_procedure_call_statement.detect(oDataStructure): + return True - iCurrent = component_instantiation_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - return iToken + return component_instantiation_statement.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/condition.py b/vsg/vhdlFile/classify/condition.py index 96373c637..25c8cfb06 100644 --- a/vsg/vhdlFile/classify/condition.py +++ b/vsg/vhdlFile/classify/condition.py @@ -1,11 +1,13 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import expression -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ condition ::= expression """ - return expression.classify_until(lUntils, iToken, lObjects) + expression.classify_until(lUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/condition_clause.py b/vsg/vhdlFile/classify/condition_clause.py index 9017497dc..39b5d2c3c 100644 --- a/vsg/vhdlFile/classify/condition_clause.py +++ b/vsg/vhdlFile/classify/condition_clause.py @@ -1,24 +1,24 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import condition_clause as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import condition -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ condition_clause ::= until condition """ - if utils.is_next_token("until", iToken, lObjects): + if oDataStructure.is_next_token("until"): return True return False -def classify_until(lUntils, iToken, lObjects): - iCurrent = utils.assign_next_token_required("until", token.until_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): + oDataStructure.replace_next_token_with(token.until_keyword) - iCurrent = condition.classify_until(lUntils, iCurrent, lObjects) - - return iCurrent + condition.classify_until(lUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/conditional_expressions.py b/vsg/vhdlFile/classify/conditional_expressions.py index 8e69f3516..5bb5ff23a 100644 --- a/vsg/vhdlFile/classify/conditional_expressions.py +++ b/vsg/vhdlFile/classify/conditional_expressions.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import conditional_expressions as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import condition, expression +from vsg.vhdlFile.classify import condition, expression, utils -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ conditional_expressions ::= expression when condition @@ -18,16 +19,14 @@ def classify_until(lUntils, iToken, lObjects): lMyWhenUntils = lUntils.copy() lMyWhenUntils.append("when") - iCurrent = expression.classify_until(["when"], iToken, lObjects) - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) - iCurrent = condition.classify_until(lMyElseUntils, iCurrent, lObjects) + expression.classify_until(["when"], oDataStructure) + oDataStructure.replace_next_token_required("when", token.when_keyword) + condition.classify_until(lMyElseUntils, oDataStructure) - while utils.is_next_token("else", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("else", token.else_keyword, iCurrent, lObjects) - iCurrent = expression.classify_until(lMyWhenUntils, iCurrent, lObjects) - if utils.is_next_token_in_list(lUntils, iCurrent, lObjects): + while oDataStructure.is_next_token("else"): + oDataStructure.replace_next_token_required("else", token.else_keyword) + expression.classify_until(lMyWhenUntils, oDataStructure) + if oDataStructure.is_next_token_one_of(lUntils): break - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) - iCurrent = condition.classify_until(lMyElseUntils, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("when", token.when_keyword) + condition.classify_until(lMyElseUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/conditional_force_assignment.py b/vsg/vhdlFile/classify/conditional_force_assignment.py index aff0fb304..fde0aaac3 100644 --- a/vsg/vhdlFile/classify/conditional_force_assignment.py +++ b/vsg/vhdlFile/classify/conditional_force_assignment.py @@ -1,33 +1,36 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import conditional_force_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import conditional_expressions, force_mode +from vsg.vhdlFile.classify import conditional_expressions, force_mode, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ conditional_force_assignment ::= target <= force [ force_mode ] conditional_expressions ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): return False - if utils.find_in_range("<=", iToken, ";", lObjects): # - if utils.find_in_range("force", iToken, ";", lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.does_string_exist_before_string("<=", ";"): + if oDataStructure.does_string_exist_before_string("force", ";"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_tokens_until("<=", token.target, iToken, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("force", token.force_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.assign_tokens_until("<=", token.target, oDataStructure) - iCurrent = force_mode.detect(iCurrent, lObjects) + oDataStructure.replace_next_token_required("<=", token.assignment) - iCurrent = conditional_expressions.classify_until([";"], iCurrent, lObjects) + oDataStructure.replace_next_token_required("force", token.force_keyword) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + force_mode.detect(oDataStructure) - return iCurrent + conditional_expressions.classify_until([";"], oDataStructure) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/conditional_signal_assignment.py b/vsg/vhdlFile/classify/conditional_signal_assignment.py index c0b336230..5777c1232 100644 --- a/vsg/vhdlFile/classify/conditional_signal_assignment.py +++ b/vsg/vhdlFile/classify/conditional_signal_assignment.py @@ -1,34 +1,33 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import ( conditional_force_assignment, conditional_waveform_assignment, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ conditional_signal_assignment ::= conditional_waveform_assignment | conditional_force_assignment """ - if utils.is_next_token("when", iToken, lObjects): + if oDataStructure.is_next_token("when"): return False - if utils.find_in_next_n_tokens("if", 3, iToken, lObjects): + if oDataStructure.does_string_exist_in_next_n_tokens("if", 3): return False - if utils.find_in_range("<=", iToken, ";", lObjects): - if utils.find_in_range("when", iToken, ";", lObjects): + if oDataStructure.does_string_exist_before_string("<=", ";"): + if oDataStructure.does_string_exist_before_string("when", ";"): return True return False -def classify(iToken, lObjects): - iCurrent = conditional_force_assignment.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if conditional_force_assignment.detect(oDataStructure): + return None - iCurrent = conditional_waveform_assignment.detect(iToken, lObjects) - - return iCurrent + conditional_waveform_assignment.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/conditional_variable_assignment.py b/vsg/vhdlFile/classify/conditional_variable_assignment.py index 103556499..da057031e 100644 --- a/vsg/vhdlFile/classify/conditional_variable_assignment.py +++ b/vsg/vhdlFile/classify/conditional_variable_assignment.py @@ -1,31 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import conditional_variable_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import conditional_expressions +from vsg.vhdlFile.classify import conditional_expressions, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ conditional_variable_assignment ::= target := conditional_expressions ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): return False - if utils.find_in_range(":=", iToken, ";", lObjects): - if not utils.find_in_range("with", iToken, ";", lObjects): - if utils.find_in_range("when", iToken, ";", lObjects): + if oDataStructure.does_string_exist_before_string(":=", ";"): + if not oDataStructure.does_string_exist_before_string("with", ";"): + if oDataStructure.does_string_exist_before_string("when", ";"): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_tokens_until(":=", token.target, iToken, lObjects) - iCurrent = utils.assign_next_token_required(":=", token.assignment, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.assign_tokens_until(":=", token.target, oDataStructure) - iCurrent = conditional_expressions.classify_until([";"], iCurrent, lObjects) + oDataStructure.replace_next_token_required(":=", token.assignment) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + conditional_expressions.classify_until([";"], oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/conditional_waveform_assignment.py b/vsg/vhdlFile/classify/conditional_waveform_assignment.py index 391cd38a5..0937a183a 100644 --- a/vsg/vhdlFile/classify/conditional_waveform_assignment.py +++ b/vsg/vhdlFile/classify/conditional_waveform_assignment.py @@ -1,32 +1,33 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import conditional_waveform_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import conditional_waveforms, delay_mechanism +from vsg.vhdlFile.classify import conditional_waveforms, delay_mechanism, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ conditional_waveform_assignment ::= target <= [ delay_mechanism ] conditional_waveforms ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): return False - if utils.find_in_range("<=", iToken, ";", lObjects): # - if not utils.find_in_range("force", iToken, ";", lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.does_string_exist_before_string("<=", ";"): + if not oDataStructure.does_string_exist_before_string("force", ";"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_tokens_until("<=", token.target, iToken, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.assign_tokens_until("<=", token.target, oDataStructure) + oDataStructure.replace_next_token_required("<=", token.assignment) - iCurrent = delay_mechanism.detect(iCurrent, lObjects) + delay_mechanism.detect(oDataStructure) - iCurrent = conditional_waveforms.classify_until([";"], iToken, lObjects) + conditional_waveforms.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/conditional_waveforms.py b/vsg/vhdlFile/classify/conditional_waveforms.py index b87ba67d9..a69216af4 100644 --- a/vsg/vhdlFile/classify/conditional_waveforms.py +++ b/vsg/vhdlFile/classify/conditional_waveforms.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import conditional_waveforms as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import condition, waveform -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ conditional_waveforms ::= waveform when condition @@ -18,16 +19,20 @@ def classify_until(lUntils, iToken, lObjects): lMyWhenUntils = lUntils.copy() lMyWhenUntils.append("when") - iCurrent = waveform.classify_until(["when"], iToken, lObjects) - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) - iCurrent = condition.classify_until(lMyElseUntils, iCurrent, lObjects) + waveform.classify_until(["when"], oDataStructure) - while utils.is_next_token("else", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("else", token.else_keyword, iCurrent, lObjects) - iCurrent = waveform.classify_until(lMyWhenUntils, iCurrent, lObjects) - if utils.is_next_token_in_list(lUntils, iToken, lObjects): + oDataStructure.replace_next_token_required("when", token.when_keyword) + + condition.classify_until(lMyElseUntils, oDataStructure) + + while oDataStructure.is_next_token("else"): + oDataStructure.replace_next_token_required("else", token.else_keyword) + + waveform.classify_until(lMyWhenUntils, oDataStructure) + + if oDataStructure.is_next_token_one_of(lUntils): break - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) - iCurrent = condition.classify_until(lMyElseUntils, iCurrent, lObjects) - return iCurrent + oDataStructure.replace_next_token_required("when", token.when_keyword) + + condition.classify_until(lMyElseUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/configuration_declaration.py b/vsg/vhdlFile/classify/configuration_declaration.py index 9998d2afb..beaee8048 100644 --- a/vsg/vhdlFile/classify/configuration_declaration.py +++ b/vsg/vhdlFile/classify/configuration_declaration.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import configuration_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import block_configuration, configuration_declarative_part -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ configuration_declaration ::= configuration identifier of *entity*_name is @@ -15,37 +16,35 @@ def detect(iToken, lObjects): end [ configuration ] [ *configuration*_simple_name ] ; """ - if utils.is_next_token("configuration", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("configuration"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = classify_opening_declaration(iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + classify_opening_declaration(oDataStructure) - iCurrent = configuration_declarative_part.detect(iCurrent, lObjects) + configuration_declarative_part.detect(oDataStructure) - iCurrent = block_configuration.detect(iCurrent, lObjects) + block_configuration.detect(oDataStructure) - iCurrent = classify_closing_declaration(iToken, lObjects) + classify_closing_declaration(oDataStructure) - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify_opening_declaration(oDataStructure): + oDataStructure.replace_next_token_with(token.configuration_keyword) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required("of", token.of_keyword) + oDataStructure.replace_next_token_with(token.entity_name) + oDataStructure.replace_next_token_required("is", token.is_keyword) -def classify_opening_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("configuration", token.configuration_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("of", token.of_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.entity_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - return iCurrent - - -def classify_closing_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("configuration", token.end_configuration_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.configuration_simple_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify_closing_declaration(oDataStructure): + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_with_if("configuration", token.end_configuration_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.configuration_simple_name) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/configuration_declarative_item.py b/vsg/vhdlFile/classify/configuration_declarative_item.py index e806d9323..ca40b9c48 100644 --- a/vsg/vhdlFile/classify/configuration_declarative_item.py +++ b/vsg/vhdlFile/classify/configuration_declarative_item.py @@ -1,9 +1,11 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import attribute_specification, group_declaration, use_clause -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ configuration_declarative_item ::= use_clause @@ -11,16 +13,10 @@ def detect(iToken, lObjects): | group_declaration """ - iReturn = use_clause.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if use_clause.detect(oDataStructure): + return True - iReturn = attribute_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_specification.detect(oDataStructure): + return True - iReturn = group_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return group_declaration.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/configuration_declarative_part.py b/vsg/vhdlFile/classify/configuration_declarative_part.py index cdf999cf5..7120d4342 100644 --- a/vsg/vhdlFile/classify/configuration_declarative_part.py +++ b/vsg/vhdlFile/classify/configuration_declarative_part.py @@ -1,17 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import configuration_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ configuration_declarative_part ::= { configuration_declarative_item } """ - iLast = 0 - iCurrent = iToken - while iLast != iCurrent: - iLast = iCurrent - iCurrent = configuration_declarative_item.detect(iCurrent, lObjects) - return iCurrent + while configuration_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/configuration_item.py b/vsg/vhdlFile/classify/configuration_item.py index 5bdfca2f2..21cf263c4 100644 --- a/vsg/vhdlFile/classify/configuration_item.py +++ b/vsg/vhdlFile/classify/configuration_item.py @@ -1,25 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import block_configuration, component_configuration -def detect(iToken, lObjects): - return classify(iToken, lObjects) - - -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ configuration_item ::= block_configuration | component_configuration """ - iCurrent = component_configuration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - iCurrent = block_configuration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if component_configuration.detect(oDataStructure): + return True - return iToken + return block_configuration.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/configuration_specification.py b/vsg/vhdlFile/classify/configuration_specification.py index 79ba1b619..607a14a40 100644 --- a/vsg/vhdlFile/classify/configuration_specification.py +++ b/vsg/vhdlFile/classify/configuration_specification.py @@ -1,16 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import simple_configuration_specification -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ configuration_specification ::= simple_configuration_specification | compound_configuration_specification """ - iReturn = simple_configuration_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return simple_configuration_specification.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/constant_declaration.py b/vsg/vhdlFile/classify/constant_declaration.py index 2c180ac20..fc25da1aa 100644 --- a/vsg/vhdlFile/classify/constant_declaration.py +++ b/vsg/vhdlFile/classify/constant_declaration.py @@ -1,36 +1,36 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import constant_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression, identifier_list, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ constant_declaration ::= constant identifier_list : subtype_indication [ := expression ] ; """ - if utils.is_next_token("constant", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_token("constant"): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.constant_keyword) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("constant", token.constant_keyword, iToken, lObjects) + identifier_list.classify_until([":"], oDataStructure, token.identifier) - iCurrent = identifier_list.classify_until([":"], iCurrent, lObjects, token.identifier) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) + if oDataStructure.is_next_token(":="): + oDataStructure.replace_next_token_with(token.assignment_operator) - if utils.is_next_token(":=", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(":=", token.assignment_operator, iCurrent, lObjects) + expression.classify_until([";"], oDataStructure) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/constrained_array_definition.py b/vsg/vhdlFile/classify/constrained_array_definition.py index e26ce1130..f545fa707 100644 --- a/vsg/vhdlFile/classify/constrained_array_definition.py +++ b/vsg/vhdlFile/classify/constrained_array_definition.py @@ -1,32 +1,30 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import constrained_array_definition as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import index_constraint, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ constrained_array_definition ::= array index_constraint of *element*_subtype_indication """ - if utils.is_next_token("array", iToken, lObjects): - if not utils.find_in_next_n_tokens("<>", 5, iToken, lObjects): - return classify(iToken, lObjects) - else: - return iToken + if oDataStructure.is_next_token("array"): + if not oDataStructure.does_string_exist_in_next_n_tokens("<>", 5): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.array_keyword) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("array", token.array_keyword, iToken, lObjects) + index_constraint.classify(oDataStructure) - iCurrent = index_constraint.classify(iToken, lObjects) + oDataStructure.replace_next_token_required("of", token.of_keyword) - iCurrent = utils.assign_next_token_required("of", token.of_keyword, iCurrent, lObjects) - - iCurrent = subtype_indication.classify(iCurrent, lObjects) - - return iCurrent + subtype_indication.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/constraint.py b/vsg/vhdlFile/classify/constraint.py index 78a472232..3e2a506fe 100644 --- a/vsg/vhdlFile/classify/constraint.py +++ b/vsg/vhdlFile/classify/constraint.py @@ -1,29 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import array_constraint, range_constraint, record_constraint -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +@decorators.push_pop_seek_index +def detect(oDataStructure): """ constraint ::= range_constraint | array_constraint | record_constraint """ - iReturn = range_constraint.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - iReturn = array_constraint.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if range_constraint.detect(oDataStructure): + return True - iReturn = record_constraint.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if array_constraint.detect(oDataStructure): + return True - iReturn = array_constraint.detect_discrete_subtype_indication(iToken, lObjects) - if iReturn != iToken: - return iReturn + if record_constraint.detect(oDataStructure): + return True - return iToken + return array_constraint.detect_discrete_subtype_indication(oDataStructure) diff --git a/vsg/vhdlFile/classify/context_clause.py b/vsg/vhdlFile/classify/context_clause.py index 93756ffa7..d303c6bc2 100644 --- a/vsg/vhdlFile/classify/context_clause.py +++ b/vsg/vhdlFile/classify/context_clause.py @@ -1,13 +1,13 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import context_item +from vsg import decorators +from vsg.vhdlFile.classify import context_item, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ context_clause ::= { context_item } """ - iCurrent = utils.detect_submodule(iToken, lObjects, context_item) - return iCurrent + return utils.detect_production(oDataStructure, context_item) diff --git a/vsg/vhdlFile/classify/context_declaration.py b/vsg/vhdlFile/classify/context_declaration.py index 79bb475a7..3ee00e43e 100644 --- a/vsg/vhdlFile/classify/context_declaration.py +++ b/vsg/vhdlFile/classify/context_declaration.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import context_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import context_clause -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ context_declaration ::= context identifier is @@ -13,23 +14,22 @@ def detect(iToken, lObjects): end [ context ] [ context_simple_name ] ; """ - iCurrent = utils.find_next_token(iToken, lObjects) - if utils.object_value_is(lObjects, iCurrent, "context"): - if utils.find_in_range("is", iCurrent, ";", lObjects): - return classify(iCurrent, lObjects) - return iToken + if oDataStructure.is_next_token("context"): + if oDataStructure.does_string_exist_before_string("is", ";"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("context", token.context_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_current_token_with(token.context_keyword) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = context_clause.detect(iCurrent, lObjects) + context_clause.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("context", token.end_context_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.context_simple_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_with_if("context", token.end_context_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.context_simple_name) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/context_item.py b/vsg/vhdlFile/classify/context_item.py index 80f3c02bf..ee0d027c8 100644 --- a/vsg/vhdlFile/classify/context_item.py +++ b/vsg/vhdlFile/classify/context_item.py @@ -1,9 +1,11 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import context_reference, library_clause, use_clause -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ context_item ::= library_clause @@ -11,16 +13,10 @@ def detect(iToken, lObjects): | context_reference """ - iCurrent = library_clause.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if library_clause.detect(oDataStructure): + return True - iCurrent = use_clause.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if use_clause.detect(oDataStructure): + return True - iCurrent = context_reference.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - return iToken + return context_reference.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/context_reference.py b/vsg/vhdlFile/classify/context_reference.py index a6d70b2a9..06c8b2dcb 100644 --- a/vsg/vhdlFile/classify/context_reference.py +++ b/vsg/vhdlFile/classify/context_reference.py @@ -1,27 +1,30 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import context_reference as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import utils as classify_utils +from vsg.vhdlFile.classify import utils -def detect(iCurrent, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ context_reference ::= context selected_name { , selected_name } ; """ - if utils.object_value_is(lObjects, iCurrent, "context"): - if not utils.find_in_range("is", iCurrent, ";", lObjects): - return classify(iCurrent, lObjects) - return iCurrent + if oDataStructure.is_next_token("context"): + if not oDataStructure.does_string_exist_before_string("is", ";"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("context", token.keyword, iToken, lObjects) - iCurrent = classify_utils.classify_selected_name(iCurrent, lObjects, token) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) - iCurrent = classify_utils.classify_selected_name(iCurrent, lObjects, token) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_current_token_with(token.keyword) + utils.classify_selected_name(oDataStructure, token) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - return iCurrent + while oDataStructure.is_next_token(","): + oDataStructure.replace_current_token_with(token.comma) + utils.classify_selected_name(oDataStructure, token) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/delay_mechanism.py b/vsg/vhdlFile/classify/delay_mechanism.py index da5730326..97bee5e78 100644 --- a/vsg/vhdlFile/classify/delay_mechanism.py +++ b/vsg/vhdlFile/classify/delay_mechanism.py @@ -1,31 +1,31 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import delay_mechanism as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ delay_mechanism ::= transport | [ reject *time*_expression ] inertial """ - if utils.is_next_token_one_of(["transport", "reject", "inertial"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token_one_of(["transport", "reject", "inertial"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - if utils.is_next_token("transport", iToken, lObjects): - return utils.assign_next_token_required("transport", token.transport_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if oDataStructure.is_next_token("transport"): + oDataStructure.replace_next_token_with(token.transport_keyword) else: - iCurrent = iToken + if oDataStructure.is_next_token("reject"): + oDataStructure.replace_next_token_with(token.reject_keyword) + expression.classify_until(["inertial"], oDataStructure) - if utils.is_next_token("reject", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("reject", token.reject_keyword, iCurrent, lObjects) - iCurrent = expression.classify_until(["inertial"], iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required("inertial", token.inertial_keyword, iCurrent, lObjects) - return iCurrent + oDataStructure.replace_next_token_required("inertial", token.inertial_keyword) diff --git a/vsg/vhdlFile/classify/design_file.py b/vsg/vhdlFile/classify/design_file.py index 8df7c4a52..b292db54a 100644 --- a/vsg/vhdlFile/classify/design_file.py +++ b/vsg/vhdlFile/classify/design_file.py @@ -1,18 +1,14 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import design_unit -def tokenize(lObjects): +@decorators.print_classifier_debug_info(__name__) +def tokenize(oDataStructure): """ design_file ::= design_unit { design_unit } """ - iCurrent = 0 - while iCurrent < len(lObjects): - iReturn = design_unit.detect(iCurrent, lObjects) - if iReturn == iCurrent: - iCurrent += 1 - else: - iCurrent = iReturn + while design_unit.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/design_unit.py b/vsg/vhdlFile/classify/design_unit.py index 80a29dcaf..ccf15e76f 100644 --- a/vsg/vhdlFile/classify/design_unit.py +++ b/vsg/vhdlFile/classify/design_unit.py @@ -1,19 +1,16 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import context_clause, library_unit -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ design_unit ::= context_clause library_unit """ - iCurrent = context_clause.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if context_clause.detect(oDataStructure): + return True - iCurrent = library_unit.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - return iToken + return library_unit.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/discrete_range.py b/vsg/vhdlFile/classify/discrete_range.py index a87ba347c..4eb5d8627 100644 --- a/vsg/vhdlFile/classify/discrete_range.py +++ b/vsg/vhdlFile/classify/discrete_range.py @@ -1,55 +1,47 @@ # -*- coding: utf-8 -*- -from vsg import parser -from vsg.vhdlFile import utils +from vsg import decorators, parser from vsg.vhdlFile.classify import range, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ discrete_range ::= *discrete*_subtype_indication | range """ - if utils.are_next_consecutive_tokens([None, "(", None, ")"], iToken, lObjects): - return subtype_indication.classify(iToken, lObjects) - return range.detect(iToken, lObjects) + if oDataStructure.are_next_consecutive_tokens([None, "(", None, ")"]): + oDataStructure.iCurrent = oDataStructure.iSeek -def classify(iToken, lObjects): - """ - discrete_range ::= - *discrete*_subtype_indication | range - """ + oDataStructure.push_current_index() + subtype_indication.classify(oDataStructure) + oDataStructure.pop_current_index() - return utils.assign_token(lObjects, iToken, parser.todo) + return True + return range.detect(oDataStructure) -def classify_until(lUntils, iToken, lObjects): - iCurrent = iToken - iStop = len(lObjects) - 1 + +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): iOpenParenthesis = 0 iCloseParenthesis = 0 - iPrevious = -1 - - while iCurrent < iStop: - iCurrent = utils.find_next_token(iCurrent, lObjects) - if iCurrent == iPrevious: - utils.print_missing_error_message(lUntils, iToken, lObjects) + while not oDataStructure.at_end_of_file(): + oDataStructure.advance_to_next_token() - if utils.token_is_open_parenthesis(iCurrent, lObjects): + if oDataStructure.current_token_lower_value_is("("): iOpenParenthesis += 1 - if utils.token_is_close_parenthesis(iCurrent, lObjects): + elif oDataStructure.current_token_lower_value_is(")"): iCloseParenthesis += 1 + if iOpenParenthesis < iCloseParenthesis: break elif iOpenParenthesis == iCloseParenthesis: - if lObjects[iCurrent].get_lower_value() in lUntils: + if oDataStructure.is_next_token_one_of(lUntils): break else: - utils.assign_token(lObjects, iCurrent, parser.todo) + oDataStructure.replace_current_token_with(parser.todo) else: - utils.assign_token(lObjects, iCurrent, parser.todo) - iPrevious = iCurrent - - return iCurrent + oDataStructure.replace_current_token_with(parser.todo) diff --git a/vsg/vhdlFile/classify/element_constraint.py b/vsg/vhdlFile/classify/element_constraint.py index 054997ee3..2de4636b9 100644 --- a/vsg/vhdlFile/classify/element_constraint.py +++ b/vsg/vhdlFile/classify/element_constraint.py @@ -1,21 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import array_constraint, record_constraint -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ element_constraint ::= array_constraint | record_constraint """ - iReturn = array_constraint.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if array_constraint.detect(oDataStructure): + return True - iReturn = record_constraint.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return record_constraint.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/element_declaration.py b/vsg/vhdlFile/classify/element_declaration.py index 9862e3bdc..e1dcdf0f3 100644 --- a/vsg/vhdlFile/classify/element_declaration.py +++ b/vsg/vhdlFile/classify/element_declaration.py @@ -1,22 +1,28 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import element_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import element_subtype_definition, identifier_list -def classify(iToken, lObjects): +def detect(oDataStructure): """ element_declaration ::= identifier_list : element_subtype_definition ; """ - iCurrent = identifier_list.classify_until([":"], iToken, lObjects) + if oDataStructure.does_string_exist_before_string(":", ";"): + classify(oDataStructure) + return True + return False - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) - iCurrent = element_subtype_definition.classify(iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + identifier_list.classify_until([":"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - return iCurrent + element_subtype_definition.classify(oDataStructure) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/element_resolution.py b/vsg/vhdlFile/classify/element_resolution.py index 04841d453..4602a2da6 100644 --- a/vsg/vhdlFile/classify/element_resolution.py +++ b/vsg/vhdlFile/classify/element_resolution.py @@ -1,13 +1,17 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators, parser +from vsg.vhdlFile.classify import utils -def classify_until(lUntils, iToken, lObjects): - iCurrent = iToken - iLast = 0 - while iLast != iCurrent: - iLast = iCurrent - if lObjects[utils.find_next_token(iCurrent, lObjects)].get_lower_value() in lUntils: - return iCurrent - iCurrent = utils.assign_next_token(parser.todo, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + """ + element_resolution ::= + array_element_resolution + | record_resolution + + TODO: Add classifiers for array_elemment_resolution and record_resolution + """ + + utils.assign_tokens_until_matching_closing_paren(parser.todo, oDataStructure) diff --git a/vsg/vhdlFile/classify/element_subtype_definition.py b/vsg/vhdlFile/classify/element_subtype_definition.py index 8433d379b..6ebd8e546 100644 --- a/vsg/vhdlFile/classify/element_subtype_definition.py +++ b/vsg/vhdlFile/classify/element_subtype_definition.py @@ -1,12 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import subtype_indication -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ element_subtype_definition ::= subtype_indication """ - return subtype_indication.classify(iToken, lObjects) + subtype_indication.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/entity_aspect.py b/vsg/vhdlFile/classify/entity_aspect.py index 4b31712bc..946ecb34b 100644 --- a/vsg/vhdlFile/classify/entity_aspect.py +++ b/vsg/vhdlFile/classify/entity_aspect.py @@ -1,32 +1,30 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import entity_aspect as token -from vsg.vhdlFile import utils -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ entity_aspect ::= **entity** entity_name [ ( architecture_identifier ) ] | **configuration** configuration_name | **open** """ - iCurrent = iToken - if utils.is_next_token("open", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("open", token.open_keyword, iCurrent, lObjects) + if oDataStructure.is_next_token("open"): + oDataStructure.replace_next_token_with(token.open_keyword) - elif utils.is_next_token("configuration", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("configuration", token.configuration_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.configuration_name, iCurrent, lObjects) + elif oDataStructure.is_next_token("configuration"): + oDataStructure.replace_next_token_with(token.configuration_keyword) + oDataStructure.replace_next_token_with(token.configuration_name) - elif utils.is_next_token("entity", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("entity", token.entity_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.entity_name, iCurrent, lObjects) + elif oDataStructure.is_next_token("entity"): + oDataStructure.replace_next_token_with(token.entity_keyword) + oDataStructure.replace_next_token_with(token.entity_name) - if utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.architecture_identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - - return iCurrent + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.open_parenthesis) + oDataStructure.replace_next_token_with(token.architecture_identifier) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/entity_declaration.py b/vsg/vhdlFile/classify/entity_declaration.py index b52cab6af..0881a1a3a 100644 --- a/vsg/vhdlFile/classify/entity_declaration.py +++ b/vsg/vhdlFile/classify/entity_declaration.py @@ -1,7 +1,7 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import entity_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( entity_declarative_part, entity_header, @@ -9,7 +9,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ entity_declaration ::= entity identifier is @@ -20,40 +21,27 @@ def detect(iToken, lObjects): end [ entity ] [ entity_simple_name ] ; """ - if utils.is_next_token("entity", iToken, lObjects): - return classify(iToken, lObjects) - else: - return iToken + if oDataStructure.is_next_token("entity"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = classify_opening_declaration(iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_current_token_with(token.entity_keyword) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = entity_header.detect(iCurrent, lObjects) + entity_header.detect(oDataStructure) - iCurrent = entity_declarative_part.detect(iCurrent, lObjects) + entity_declarative_part.detect(oDataStructure) - if utils.is_next_token("begin", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("begin", token.begin_keyword, iCurrent, lObjects) - iCurrent = entity_statement_part.detect(iCurrent, lObjects) + if oDataStructure.is_next_token("begin"): + oDataStructure.replace_current_token_with(token.begin_keyword) + entity_statement_part.detect(oDataStructure) - iCurrent = classify_closing_declaration(iCurrent, lObjects) - - return iCurrent - - -def classify_opening_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("entity", token.entity_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - - return iCurrent - - -def classify_closing_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("entity", token.end_entity_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.entity_simple_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_with_if("entity", token.end_entity_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.entity_simple_name) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/entity_declarative_item.py b/vsg/vhdlFile/classify/entity_declarative_item.py index dad1a7c7b..01370f42e 100644 --- a/vsg/vhdlFile/classify/entity_declarative_item.py +++ b/vsg/vhdlFile/classify/entity_declarative_item.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( alias_declaration, attribute_declaration, @@ -24,7 +25,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ entity_declarative_item ::= subprogram_declaration @@ -51,81 +53,61 @@ def detect(iToken, lObjects): | PSL_Clock_Declaration """ - iCurrent = subprogram_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - iCurrent = subprogram_body.detect(iCurrent, lObjects) - return iCurrent + if subprogram_declaration.detect(oDataStructure): + return True - iCurrent = subprogram_instantiation_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if subprogram_body.detect(oDataStructure): + return True - iCurrent = package_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if subprogram_instantiation_declaration.detect(oDataStructure): + return True - iCurrent = package_body.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if package_declaration.detect(oDataStructure): + return True - iCurrent = package_instantiation_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if package_body.detect(oDataStructure): + return True - iCurrent = type_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if package_instantiation_declaration.detect(oDataStructure): + return True - iCurrent = subtype_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if type_declaration.detect(oDataStructure): + return True - iCurrent = constant_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if subtype_declaration.detect(oDataStructure): + return True - iCurrent = signal_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if constant_declaration.detect(oDataStructure): + return True - iCurrent = variable_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if signal_declaration.detect(oDataStructure): + return True - iCurrent = file_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if variable_declaration.detect(oDataStructure): + return True - iCurrent = alias_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if file_declaration.detect(oDataStructure): + return True - iCurrent = component_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if alias_declaration.detect(oDataStructure): + return True - iCurrent = attribute_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if component_declaration.detect(oDataStructure): + return True - iCurrent = attribute_specification.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if attribute_declaration.detect(oDataStructure): + return True - iCurrent = use_clause.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if attribute_specification.detect(oDataStructure): + return True - iCurrent = psl_clock_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if use_clause.detect(oDataStructure): + return True - iCurrent = psl_property_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if psl_clock_declaration.detect(oDataStructure): + return True - iCurrent = psl_sequence_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if psl_property_declaration.detect(oDataStructure): + return True - return iToken + return psl_sequence_declaration.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/entity_declarative_part.py b/vsg/vhdlFile/classify/entity_declarative_part.py index 9c8f54c92..43f14e473 100644 --- a/vsg/vhdlFile/classify/entity_declarative_part.py +++ b/vsg/vhdlFile/classify/entity_declarative_part.py @@ -1,13 +1,15 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import entity_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ entity_declarative_part ::= { entity_declarative_item } """ - return utils.detect_submodule(iToken, lObjects, entity_declarative_item) + while entity_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/entity_designator.py b/vsg/vhdlFile/classify/entity_designator.py index f11333adb..68f50926a 100644 --- a/vsg/vhdlFile/classify/entity_designator.py +++ b/vsg/vhdlFile/classify/entity_designator.py @@ -1,18 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import entity_designator as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import signature -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ entity_designator ::= entity_tag [ signature ] """ - iCurrent = utils.assign_next_token(token.entity_tag, iToken, lObjects) + oDataStructure.replace_next_token_with(token.entity_tag) - iCurrent = signature.detect(iCurrent, lObjects) - - return iCurrent + if signature.detect(oDataStructure): + signature.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/entity_header.py b/vsg/vhdlFile/classify/entity_header.py index 072ba2291..ea84a4af4 100644 --- a/vsg/vhdlFile/classify/entity_header.py +++ b/vsg/vhdlFile/classify/entity_header.py @@ -1,18 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import generic_clause, port_clause -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ entity_header ::= [ *formal*_generic_clause ] [ *formal*_port_clause ] """ - iReturn = generic_clause.detect(iToken, lObjects) + bGeneric = False + if generic_clause.detect(oDataStructure): + generic_clause.classify(oDataStructure) + bGeneric = True - iReturn = port_clause.detect(iReturn, lObjects) + bPort = False + if port_clause.detect(oDataStructure): + port_clause.classify(oDataStructure) + bPort = True - return iReturn + return bGeneric or bPort diff --git a/vsg/vhdlFile/classify/entity_name_list.py b/vsg/vhdlFile/classify/entity_name_list.py index 086c60ac0..6267d4e6b 100644 --- a/vsg/vhdlFile/classify/entity_name_list.py +++ b/vsg/vhdlFile/classify/entity_name_list.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import entity_name_list as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import entity_designator -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ entity_name_list ::= entity_designator { , entity_designator } @@ -13,18 +14,15 @@ def classify(iToken, lObjects): | all """ - if utils.is_next_token("others", iToken, lObjects): - return utils.assign_next_token_required("others", token.others_keyword, iToken, lObjects) + if oDataStructure.is_next_token("others"): + oDataStructure.replace_next_token_with(token.others_keyword) - elif utils.is_next_token("all", iToken, lObjects): - return utils.assign_next_token_required("all", token.all_keyword, iToken, lObjects) + elif oDataStructure.is_next_token("all"): + oDataStructure.replace_next_token_with(token.all_keyword) else: - iCurrent = entity_designator.classify(iToken, lObjects) + entity_designator.classify(oDataStructure) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iToken, lObjects) - - entity_designator.classify(iToken, lObjects) - - return iCurrent + while oDataStructure.is_next_token(","): + oDataStructure.replace_next_token_with(token.comma) + entity_designator.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/entity_specification.py b/vsg/vhdlFile/classify/entity_specification.py index f106c188a..afed0e35b 100644 --- a/vsg/vhdlFile/classify/entity_specification.py +++ b/vsg/vhdlFile/classify/entity_specification.py @@ -1,20 +1,19 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import entity_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import entity_name_list -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ entity_specification ::= entity_name_list : entity_class """ - iCurrent = entity_name_list.classify(iToken, lObjects) + entity_name_list.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = utils.assign_next_token(token.entity_class, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_with(token.entity_class) diff --git a/vsg/vhdlFile/classify/entity_statement.py b/vsg/vhdlFile/classify/entity_statement.py index 7535575e4..03a315692 100644 --- a/vsg/vhdlFile/classify/entity_statement.py +++ b/vsg/vhdlFile/classify/entity_statement.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( concurrent_assertion_statement, concurrent_procedure_call_statement, @@ -8,7 +9,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ entity_statement ::= concurrent_assertion_statement @@ -17,20 +19,19 @@ def detect(iToken, lObjects): | *PSL*_PSL_Directive """ - iCurrent = psl_psl_directive.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if process_statement.detect(oDataStructure): + return True - iCurrent = process_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if psl_psl_directive.detect(oDataStructure): + return True - iCurrent = concurrent_assertion_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if process_statement.detect(oDataStructure): + return True - iCurrent = concurrent_procedure_call_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if concurrent_assertion_statement.detect(oDataStructure): + return True - return iToken + if concurrent_procedure_call_statement.detect(oDataStructure): + return True + + return False diff --git a/vsg/vhdlFile/classify/entity_statement_part.py b/vsg/vhdlFile/classify/entity_statement_part.py index 0be1969a3..79c482f40 100644 --- a/vsg/vhdlFile/classify/entity_statement_part.py +++ b/vsg/vhdlFile/classify/entity_statement_part.py @@ -1,13 +1,17 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import entity_statement -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ entity_statement_part ::= { entity_statement } """ - return utils.detect_submodule(iToken, lObjects, entity_statement) + while entity_statement.detect(oDataStructure): + pass + + return False diff --git a/vsg/vhdlFile/classify/enumeration_type_definition.py b/vsg/vhdlFile/classify/enumeration_type_definition.py index a2ba3876e..69deec48f 100644 --- a/vsg/vhdlFile/classify/enumeration_type_definition.py +++ b/vsg/vhdlFile/classify/enumeration_type_definition.py @@ -1,26 +1,27 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import enumeration_type_definition as token -from vsg.vhdlFile import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ enumeration_type_definition ::= ( enumeration_literal { , enumeration_literal } ) """ - if utils.is_next_token("(", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_token("("): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.open_parenthesis) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iToken, lObjects) + while not oDataStructure.is_next_token(")"): + oDataStructure.replace_next_token_with_if(",", token.comma) + oDataStructure.replace_next_token_with(token.enumeration_literal) - while not utils.is_next_token(")", iCurrent, lObjects): - iCurrent = utils.assign_next_token_if(",", token.comma, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.enumeration_literal, iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - return iCurrent + oDataStructure.replace_next_token_with(token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/exit_statement.py b/vsg/vhdlFile/classify/exit_statement.py index fc25f4309..78c3f1e35 100644 --- a/vsg/vhdlFile/classify/exit_statement.py +++ b/vsg/vhdlFile/classify/exit_statement.py @@ -1,35 +1,34 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import exit_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import condition +from vsg.vhdlFile.classify import condition, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ exit_statement ::= [ label : ] exit [ loop_label ] [ when condition ] ; """ - if utils.are_next_consecutive_tokens([None, ":", "exit"], iToken, lObjects): - return classify(iToken, lObjects) - if utils.is_next_token("exit", iToken, lObjects): - return classify(iToken, lObjects) + if utils.keyword_found("exit", oDataStructure): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label, token.label_colon) + oDataStructure.replace_next_token_required("exit", token.exit_keyword) - iCurrent = utils.assign_next_token_required("exit", token.exit_keyword, iCurrent, lObjects) + if not oDataStructure.is_next_token_one_of([";", "when"]): + oDataStructure.replace_next_token_with(token.loop_label) - iCurrent = utils.assign_next_token_if_not_one_of([";", "when"], token.loop_label, iCurrent, lObjects) + if oDataStructure.is_next_token("when"): + oDataStructure.replace_next_token_with(token.when_keyword) + condition.classify_until([";"], oDataStructure) - if utils.is_next_token("when", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) - iCurrent = condition.classify_until([";"], iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/expression.py b/vsg/vhdlFile/classify/expression.py index dd88667d9..52ed4d142 100644 --- a/vsg/vhdlFile/classify/expression.py +++ b/vsg/vhdlFile/classify/expression.py @@ -1,66 +1,54 @@ # -*- coding: utf-8 -*- -from vsg import parser -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import bit_string_literal, external_name +from vsg import decorators, parser +from vsg.vhdlFile.classify import ( + bit_string_literal, + character_literal, + external_name, + utils, +) -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure, oType=parser.todo): """ expression ::= condition_operator primary | logical_expression """ - return utils.assign_token(lObjects, iToken, parser.todo) + iParen = 0 + while oDataStructure.advance_to_next_token(): + iParen = update_paren_counter(iParen, oDataStructure) - -def classify_until(lUntils, iToken, lObjects, oType=parser.todo): - """ - expression ::= - condition_operator primary - | logical_expression - """ - iCurrent = iToken - iStop = len(lObjects) - 1 - iOpenParenthesis = 0 - iCloseParenthesis = 0 - iPrevious = 0 - while iCurrent < iStop: - if iCurrent == iPrevious: + if utils.unmatched_close_paren_found(iParen): break - iPrevious = iCurrent - iCurrent = utils.find_next_token(iCurrent, lObjects) - if utils.token_is_open_parenthesis(iCurrent, lObjects): - iOpenParenthesis += 1 - if utils.token_is_close_parenthesis(iCurrent, lObjects): - iCloseParenthesis += 1 - if iOpenParenthesis < iCloseParenthesis: - break - elif lObjects[iCurrent].get_lower_value() in lUntils: - if utils.token_is_close_parenthesis(iCurrent, lObjects): - if iOpenParenthesis == iCloseParenthesis: - utils.assign_token(lObjects, iCurrent, parser.close_parenthesis) - iCurrent += 1 - continue - else: - break - elif utils.token_is_comma(iCurrent, lObjects): - if iOpenParenthesis == iCloseParenthesis: + if oDataStructure.get_current_token_lower_value() in lUntils: + if utils.is_current_token_close_paren(oDataStructure): + oDataStructure.replace_current_token_with(parser.close_parenthesis) + oDataStructure.increment_current_index() + elif oDataStructure.current_token_lower_value_is(","): + if iParen == 0: break else: - utils.assign_token(lObjects, iCurrent, parser.comma) - iCurrent += 1 + oDataStructure.replace_current_token_with(parser.comma) else: break else: - iPrevious = iCurrent - for oToken in [external_name, bit_string_literal]: - iCurrent = oToken.detect(iCurrent, lObjects) - if iCurrent != iPrevious: - continue - if iCurrent != iPrevious: + if external_name.detect(oDataStructure): + continue + elif bit_string_literal.detect(oDataStructure): + continue + elif character_literal.detect(oDataStructure): continue - utils.assign_special_tokens(lObjects, iCurrent, oType) - iCurrent += 1 - return iCurrent + + utils.assign_special_tokens(oDataStructure, oType) + + +@decorators.print_classifier_debug_info(__name__) +def update_paren_counter(iParen, oDataStructure): + if utils.is_current_token_open_paren(oDataStructure): + return iParen + 1 + elif utils.is_current_token_close_paren(oDataStructure): + return iParen - 1 + return iParen diff --git a/vsg/vhdlFile/classify/external_constant_name.py b/vsg/vhdlFile/classify/external_constant_name.py index 1703a1c41..7f02750f8 100644 --- a/vsg/vhdlFile/classify/external_constant_name.py +++ b/vsg/vhdlFile/classify/external_constant_name.py @@ -1,36 +1,35 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators from vsg.token import external_constant_name as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import subtype_indication +from vsg.vhdlFile.classify import subtype_indication, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ external_constant_name ::= << constant external_pathname : subtype_indication >> """ - if utils.are_next_consecutive_tokens(["<<", "constant"], iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.are_next_consecutive_tokens(["<<", "constant"]): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.double_less_than) + oDataStructure.replace_next_token_with(token.constant_keyword) + oDataStructure.replace_next_token_with(token.external_pathname) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("<<", token.double_less_than, iToken, lObjects) - iCurrent = utils.assign_next_token_required("constant", token.constant_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.external_pathname, iCurrent, lObjects) + while oDataStructure.is_next_token("("): + utils.assign_parenthesis_as_todo(oDataStructure) + oDataStructure.replace_next_token_with_if_not(":", token.external_pathname) - while utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_parenthesis_as_todo(iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(":", token.external_pathname, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(">>", token.double_greater_than, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(">>", token.double_greater_than) diff --git a/vsg/vhdlFile/classify/external_name.py b/vsg/vhdlFile/classify/external_name.py index 7fd6fc77a..6af14b210 100644 --- a/vsg/vhdlFile/classify/external_name.py +++ b/vsg/vhdlFile/classify/external_name.py @@ -1,8 +1,6 @@ # -*- coding: utf-8 -*- -from vsg import parser -from vsg.token import direction -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import ( external_constant_name, external_signal_name, @@ -10,7 +8,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ external_name ::= external_constant_name @@ -18,16 +17,10 @@ def detect(iToken, lObjects): | external_variable_name """ - iReturn = external_constant_name.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if external_constant_name.detect(oDataStructure): + return True - iReturn = external_signal_name.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if external_signal_name.detect(oDataStructure): + return True - iReturn = external_variable_name.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return external_variable_name.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/external_signal_name.py b/vsg/vhdlFile/classify/external_signal_name.py index bc8703fa7..ebb01aa83 100644 --- a/vsg/vhdlFile/classify/external_signal_name.py +++ b/vsg/vhdlFile/classify/external_signal_name.py @@ -1,36 +1,35 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators from vsg.token import external_signal_name as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import subtype_indication +from vsg.vhdlFile.classify import subtype_indication, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ external_signal_name ::= << signal external_pathname : subtype_indication >> """ - if utils.are_next_consecutive_tokens(["<<", "signal"], iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.are_next_consecutive_tokens(["<<", "signal"]): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.double_less_than) + oDataStructure.replace_next_token_with(token.signal_keyword) + oDataStructure.replace_next_token_with(token.external_pathname) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("<<", token.double_less_than, iToken, lObjects) - iCurrent = utils.assign_next_token_required("signal", token.signal_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.external_pathname, iToken, lObjects) + while oDataStructure.is_next_token("("): + utils.assign_parenthesis_as_todo(oDataStructure) + oDataStructure.replace_next_token_with_if_not(":", token.external_pathname) - while utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_parenthesis_as_todo(iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(":", token.external_pathname, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(">>", token.double_greater_than, iToken, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(">>", token.double_greater_than) diff --git a/vsg/vhdlFile/classify/external_variable_name.py b/vsg/vhdlFile/classify/external_variable_name.py index bbb81904e..193d5dacd 100644 --- a/vsg/vhdlFile/classify/external_variable_name.py +++ b/vsg/vhdlFile/classify/external_variable_name.py @@ -1,36 +1,35 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators from vsg.token import external_variable_name as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import subtype_indication +from vsg.vhdlFile.classify import subtype_indication, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ external_variable_name ::= << variable external_pathname : subtype_indication >> """ - if utils.are_next_consecutive_tokens(["<<", "variable"], iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.are_next_consecutive_tokens(["<<", "variable"]): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.double_less_than) + oDataStructure.replace_next_token_with(token.variable_keyword) + oDataStructure.replace_next_token_with(token.external_pathname) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("<<", token.double_less_than, iToken, lObjects) - iCurrent = utils.assign_next_token_required("variable", token.variable_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.external_pathname, iToken, lObjects) + while oDataStructure.is_next_token("("): + utils.assign_parenthesis_as_todo(oDataStructure) + oDataStructure.replace_next_token_with_if_not(":", token.external_pathname) - while utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_parenthesis_as_todo(iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(":", token.external_pathname, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(">>", token.double_greater_than, iToken, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(">>", token.double_greater_than) diff --git a/vsg/vhdlFile/classify/file_declaration.py b/vsg/vhdlFile/classify/file_declaration.py index fac7348b8..d8852fac8 100644 --- a/vsg/vhdlFile/classify/file_declaration.py +++ b/vsg/vhdlFile/classify/file_declaration.py @@ -1,7 +1,7 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import file_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( file_open_information, identifier_list, @@ -9,27 +9,27 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ file_declaration ::= file identifier_list : subtype_indication [ file_open_information ] ; """ - if utils.is_next_token("file", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_token("file"): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.file_keyword) + identifier_list.classify_until([":"], oDataStructure, token.identifier) + oDataStructure.replace_next_token_required(":", token.colon) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("file", token.file_keyword, iToken, lObjects) - iCurrent = identifier_list.classify_until([":"], iCurrent, lObjects, token.identifier) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) + file_open_information.detect(oDataStructure) - iCurrent = file_open_information.detect(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/file_logical_name.py b/vsg/vhdlFile/classify/file_logical_name.py index 9ceebff4d..b6402a255 100644 --- a/vsg/vhdlFile/classify/file_logical_name.py +++ b/vsg/vhdlFile/classify/file_logical_name.py @@ -1,13 +1,13 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import expression -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ file_logical_name ::= *string*_expression """ - iCurrent = expression.classify_until([";"], iToken, lObjects) - - return iCurrent + expression.classify_until([";"], oDataStructure) diff --git a/vsg/vhdlFile/classify/file_open_information.py b/vsg/vhdlFile/classify/file_open_information.py index 3d3e531b8..6d3abc8c3 100644 --- a/vsg/vhdlFile/classify/file_open_information.py +++ b/vsg/vhdlFile/classify/file_open_information.py @@ -1,30 +1,29 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import file_open_information as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import file_logical_name -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ file_open_information ::= [ open *file_open_kind*_expression ] is file_logical_name """ - if utils.is_next_token_one_of(["open", "is"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token_one_of(["open", "is"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if oDataStructure.is_next_token("open"): + oDataStructure.replace_next_token_with(token.open_keyword) + oDataStructure.replace_next_token_with(token.file_open_kind_expression) - if utils.is_next_token("open", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("open", token.open_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.file_open_kind_expression, iCurrent, lObjects) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - - iCurrent = file_logical_name.classify(iCurrent, lObjects) - - return iCurrent + file_logical_name.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/file_type_definition.py b/vsg/vhdlFile/classify/file_type_definition.py index 77d85affb..f55aa66c4 100644 --- a/vsg/vhdlFile/classify/file_type_definition.py +++ b/vsg/vhdlFile/classify/file_type_definition.py @@ -1,26 +1,27 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import file_type_definition as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import type_mark -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ file_type_definition ::= file of type_mark """ - if utils.is_next_token("file", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_token("file"): + classify(oDataStructure) + return True - return iToken + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("file", token.file_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("of", token.of_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.file_keyword) + oDataStructure.replace_next_token_required("of", token.of_keyword) - iCurrent = type_mark.classify(iToken, lObjects) - - return iCurrent + type_mark.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/for_generate_statement.py b/vsg/vhdlFile/classify/for_generate_statement.py index 5b22a3487..28905fda7 100644 --- a/vsg/vhdlFile/classify/for_generate_statement.py +++ b/vsg/vhdlFile/classify/for_generate_statement.py @@ -1,11 +1,16 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import for_generate_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import generate_statement_body, parameter_specification +from vsg.vhdlFile.classify import ( + generate_statement_body, + parameter_specification, + utils, +) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ for_generate_statement ::= *generate*_label : @@ -14,29 +19,29 @@ def detect(iToken, lObjects): end generate [ *generate*_label ] ; """ - if utils.are_next_consecutive_tokens([None, ":", "for"], iToken, lObjects): - return classify(iToken, lObjects) - if utils.are_next_consecutive_tokens(["for"], iToken, lObjects): - iIndex = utils.find_next_token(iToken, lObjects) - oToken = token.for_keyword(lObjects[iToken].get_value()) + if oDataStructure.are_next_consecutive_tokens([None, ":", "for"]): + classify(oDataStructure) + return True + if oDataStructure.is_next_token("for"): + iIndex = utils.find_next_token(iCurrent, lObjects) + oToken = token.for_keyword(lObjects[iCurrent].get_value()) utils.print_error_message("generate_label", oToken, iIndex, lObjects) - return iToken + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.generate_label, token.label_colon) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.generate_label, token.label_colon) - iCurrent = utils.assign_next_token_required("for", token.for_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("for", token.for_keyword) - iCurrent = parameter_specification.classify_until(["generate"], iCurrent, lObjects) + parameter_specification.classify_until(["generate"], oDataStructure) - iCurrent = utils.assign_next_token_required("generate", token.generate_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("generate", token.generate_keyword) - iCurrent = generate_statement_body.classify(iCurrent, lObjects) + generate_statement_body.classify(oDataStructure) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("generate", token.end_generate_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_generate_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("generate", token.end_generate_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.end_generate_label) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/force_mode.py b/vsg/vhdlFile/classify/force_mode.py index d54a07717..6ce6b3056 100644 --- a/vsg/vhdlFile/classify/force_mode.py +++ b/vsg/vhdlFile/classify/force_mode.py @@ -1,16 +1,22 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import force_mode as token -from vsg.vhdlFile import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ force_mode ::= in | out """ - iCurrent = utils.assign_next_token_if("in", token.in_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("out", token.out_keyword, iCurrent, lObjects) + if oDataStructure.is_next_token_one_of(["in", "out"]): + classify(oDataStructure) + return True + return False - return iCurrent + +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("in", token.in_keyword) + oDataStructure.replace_next_token_with_if("out", token.out_keyword) diff --git a/vsg/vhdlFile/classify/formal_parameter_list.py b/vsg/vhdlFile/classify/formal_parameter_list.py index 9cd875e80..fdb7c4690 100644 --- a/vsg/vhdlFile/classify/formal_parameter_list.py +++ b/vsg/vhdlFile/classify/formal_parameter_list.py @@ -1,12 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import interface_list -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ formal_parameter_list ::= *parameter*_interface_list """ - return interface_list.classify(iToken, lObjects) + interface_list.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/formal_part.py b/vsg/vhdlFile/classify/formal_part.py index 99668db0c..899c887c8 100644 --- a/vsg/vhdlFile/classify/formal_part.py +++ b/vsg/vhdlFile/classify/formal_part.py @@ -1,10 +1,10 @@ # -*- coding: utf-8 -*- -from vsg import parser -from vsg.vhdlFile import utils +from vsg import decorators, parser -def classify(oTokenType, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure, oTokenType): """ formal_part ::= formal_designator @@ -14,10 +14,8 @@ def classify(oTokenType, iToken, lObjects): An association element will end with => """ # Assign first token as formal part - iCurrent = utils.assign_next_token(oTokenType, iToken, lObjects) + oDataStructure.replace_next_token_with(oTokenType) # Assign remaining tokens as todo - while not utils.are_next_consecutive_tokens_ignoring_whitespace(["=>"], iCurrent, lObjects): - iCurrent = utils.assign_next_token(parser.todo, iCurrent, lObjects) - - return iCurrent + while not oDataStructure.is_next_token("=>"): + oDataStructure.replace_next_token_with(parser.todo) diff --git a/vsg/vhdlFile/classify/full_type_declaration.py b/vsg/vhdlFile/classify/full_type_declaration.py index 059e837a0..84bfee5ac 100644 --- a/vsg/vhdlFile/classify/full_type_declaration.py +++ b/vsg/vhdlFile/classify/full_type_declaration.py @@ -1,30 +1,31 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import full_type_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import identifier, type_definition -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ full_type_declaration ::= type identifier is type_definition ; """ - if utils.are_next_consecutive_tokens(["type", None, "is"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens(["type", None, "is"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("type", token.type_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.type_keyword) - iCurrent = identifier.classify(iCurrent, lObjects, token.identifier) + identifier.classify(oDataStructure, token.identifier) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = type_definition.detect(iCurrent, lObjects) + type_definition.detect(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/function_specification.py b/vsg/vhdlFile/classify/function_specification.py index 84b0760e0..43ca490f9 100644 --- a/vsg/vhdlFile/classify/function_specification.py +++ b/vsg/vhdlFile/classify/function_specification.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import function_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import formal_parameter_list, subprogram_header, type_mark -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ function_specification ::= [ pure | impure ] function designator @@ -13,28 +14,28 @@ def detect(iToken, lObjects): [ [ parameter ] ( formal_parameter_list ) ] return type_mark """ - if utils.is_next_token_one_of(["pure", "impure", "function"], iToken, lObjects): - if not utils.find_in_next_n_tokens("new", 4, iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token_one_of(["pure", "impure", "function"]): + if not oDataStructure.does_string_exist_in_next_n_tokens("new", 4): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("pure", token.pure_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("impure", token.impure_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("function", token.function_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.designator, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("pure", token.pure_keyword) + oDataStructure.replace_next_token_with_if("impure", token.impure_keyword) + oDataStructure.replace_next_token_required("function", token.function_keyword) + oDataStructure.replace_next_token_with(token.designator) - iCurrent = subprogram_header.detect(iCurrent, lObjects) + subprogram_header.detect(oDataStructure) - iCurrent = utils.assign_next_token_if("parameter", token.parameter_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("parameter", token.parameter_keyword) - if utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = formal_parameter_list.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.open_parenthesis) + formal_parameter_list.classify(oDataStructure) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) - iCurrent = utils.assign_next_token_required("return", token.return_keyword, iToken, lObjects) - iCurrent = type_mark.classify(iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("return", token.return_keyword) + type_mark.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/generate_specification.py b/vsg/vhdlFile/classify/generate_specification.py index 8a5469c80..d80b56986 100644 --- a/vsg/vhdlFile/classify/generate_specification.py +++ b/vsg/vhdlFile/classify/generate_specification.py @@ -1,9 +1,11 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import expression -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ generate_specification ::= static_discrete_range @@ -11,4 +13,4 @@ def classify(iToken, lObjects): | alternative_label """ - return expression.classify_until([")"], iToken, lObjects) + expression.classify_until([")"], oDataStructure) diff --git a/vsg/vhdlFile/classify/generate_statement.py b/vsg/vhdlFile/classify/generate_statement.py index 7a7f413ff..a0a587f62 100644 --- a/vsg/vhdlFile/classify/generate_statement.py +++ b/vsg/vhdlFile/classify/generate_statement.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( case_generate_statement, for_generate_statement, @@ -7,7 +8,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ generate_statement ::= for_generate_statement @@ -15,16 +17,10 @@ def detect(iToken, lObjects): | case_generate_statement """ - iCurrent = for_generate_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if for_generate_statement.detect(oDataStructure): + return True - iCurrent = if_generate_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if if_generate_statement.detect(oDataStructure): + return True - iCurrent = case_generate_statement.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - return iCurrent + return case_generate_statement.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/generate_statement_body.py b/vsg/vhdlFile/classify/generate_statement_body.py index 64a53f01e..5040ebe1e 100644 --- a/vsg/vhdlFile/classify/generate_statement_body.py +++ b/vsg/vhdlFile/classify/generate_statement_body.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import generate_statement_body as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import block_declarative_part, concurrent_statement -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ generate_statement_body ::= [ block_declarative_part @@ -13,27 +14,17 @@ def classify(iToken, lObjects): { concurrent_statement } [ end [ alternative_label ] ; ] """ - iCurrent = utils.find_next_token(iToken, lObjects) - iLast = iCurrent - iCurrent = block_declarative_part.detect(iCurrent, lObjects) - if iCurrent != iLast: - iCurrent = utils.assign_next_token_required("begin", token.begin_keyword, iCurrent, lObjects) + block_declarative_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_if("begin", token.begin_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("begin", token.begin_keyword) - iLast = 0 - while iCurrent != iLast: - iLast = iCurrent - if utils.is_next_token_one_of(["elsif", "else", "when"], iCurrent, lObjects): - return iCurrent - if utils.is_next_token_one_of(["end"], iCurrent, lObjects): + while not oDataStructure.is_next_token_one_of(["elsif", "else", "when", "end"]): + if not concurrent_statement.detect(oDataStructure): break - iCurrent = concurrent_statement.detect(iCurrent, lObjects) - if not utils.are_next_consecutive_tokens(["end", "generate"], iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.alternative_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + if oDataStructure.is_next_token("end"): + if not oDataStructure.are_next_consecutive_tokens(["end", "generate"]): + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.alternative_label) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/generic_clause.py b/vsg/vhdlFile/classify/generic_clause.py index a6f64e993..e98db41a9 100644 --- a/vsg/vhdlFile/classify/generic_clause.py +++ b/vsg/vhdlFile/classify/generic_clause.py @@ -1,27 +1,25 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import generic_clause as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import generic_list -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ generic_clause ::= generic ( generic_list ) ; """ - if utils.are_next_consecutive_tokens(["generic", "("], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.are_next_consecutive_tokens(["generic", "("]) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("generic", token.generic_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("generic", token.generic_keyword) + oDataStructure.replace_next_token_required("(", token.open_parenthesis) - iCurrent = generic_list.classify(iCurrent, lObjects) + generic_list.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(")", token.close_parenthesis) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/generic_list.py b/vsg/vhdlFile/classify/generic_list.py index f0d8f7450..f14de354e 100644 --- a/vsg/vhdlFile/classify/generic_list.py +++ b/vsg/vhdlFile/classify/generic_list.py @@ -1,12 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import interface_list -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ generic_list ::= *generic*_interface_list """ - return interface_list.classify(iToken, lObjects) + interface_list.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/generic_map_aspect.py b/vsg/vhdlFile/classify/generic_map_aspect.py index 9951af3c6..5d51b696e 100644 --- a/vsg/vhdlFile/classify/generic_map_aspect.py +++ b/vsg/vhdlFile/classify/generic_map_aspect.py @@ -1,27 +1,28 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import generic_map_aspect as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import association_list -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ generic_map_aspect ::= generic map ( *generic*_association_list ) """ - if utils.is_next_token("generic", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("generic"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("generic", token.generic_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("map", token.map_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("(", token.open_parenthesis, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.generic_keyword) + oDataStructure.replace_next_token_required("map", token.map_keyword) + oDataStructure.replace_next_token_required("(", token.open_parenthesis) - iCurrent = association_list.classify(iCurrent, lObjects) + association_list.classify(oDataStructure) - iCurrent = utils.assign_next_token_if(")", token.close_parenthesis, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/group_constituent_list.py b/vsg/vhdlFile/classify/group_constituent_list.py index c8513106a..c05e7fb56 100644 --- a/vsg/vhdlFile/classify/group_constituent_list.py +++ b/vsg/vhdlFile/classify/group_constituent_list.py @@ -1,18 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import group_constituent_list as token -from vsg.vhdlFile import utils -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ - association_list ::= - association_element { , association_element } + group_constituent_list ::= + group_constituent { , group_constituent } """ - iCurrent = utils.assign_next_token(token.group_constituent, iToken, lObjects) + oDataStructure.replace_next_token_with(token.group_constituent) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.group_constituent, iToken, lObjects) - - return iCurrent + while oDataStructure.is_next_token(","): + oDataStructure.replace_next_token_with(token.comma) + oDataStructure.replace_next_token_with(token.group_constituent) diff --git a/vsg/vhdlFile/classify/group_declaration.py b/vsg/vhdlFile/classify/group_declaration.py index 239f917b3..4727d1f74 100644 --- a/vsg/vhdlFile/classify/group_declaration.py +++ b/vsg/vhdlFile/classify/group_declaration.py @@ -1,29 +1,33 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import group_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import group_constituent_list -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ group_declaration ::= group identifier : group_template_name ( group_constituent_list ) ; """ - if utils.is_next_token("group", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("group"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("group", token.group_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.group_template_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = group_constituent_list.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.group_keyword) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required(":", token.colon) + oDataStructure.replace_next_token_with(token.group_template_name) - return iCurrent + oDataStructure.replace_next_token_required("(", token.open_parenthesis) + + group_constituent_list.classify(oDataStructure) + + oDataStructure.replace_next_token_required(")", token.close_parenthesis) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/identifier.py b/vsg/vhdlFile/classify/identifier.py index cfc1d78c6..45157097f 100644 --- a/vsg/vhdlFile/classify/identifier.py +++ b/vsg/vhdlFile/classify/identifier.py @@ -1,13 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import identifier as token -from vsg.vhdlFile import utils -def classify(iToken, lObjects, oType=token.identifier): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure, oType=token.identifier): """ identifier ::= basic_identifier | extended_identifier """ - return utils.assign_next_token(oType, iToken, lObjects) + oDataStructure.replace_next_token_with(oType) diff --git a/vsg/vhdlFile/classify/identifier_list.py b/vsg/vhdlFile/classify/identifier_list.py index ceeb1e7ce..c561ae761 100644 --- a/vsg/vhdlFile/classify/identifier_list.py +++ b/vsg/vhdlFile/classify/identifier_list.py @@ -1,21 +1,16 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import identifier_list as token -from vsg.vhdlFile import utils -def classify_until(lUntils, iToken, lObjects, oToken=token.identifier): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure, oToken=token.identifier): """ identifier_list ::= identifier { , identifier } """ - iEnd = len(lObjects) - 1 - iCurrent = iToken - while not utils.is_next_token_one_of(lUntils, iCurrent, lObjects): - if iCurrent == iEnd: - return iCurrent - iCurrent = utils.assign_next_token_if_not(",", oToken, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if(",", token.comma, iCurrent, lObjects) - - return iCurrent + while not oDataStructure.is_next_token_one_of(lUntils): + oDataStructure.replace_next_token_with_if_not(",", oToken) + oDataStructure.replace_next_token_with_if(",", token.comma) diff --git a/vsg/vhdlFile/classify/if_generate_statement.py b/vsg/vhdlFile/classify/if_generate_statement.py index b7076f2be..f17680870 100644 --- a/vsg/vhdlFile/classify/if_generate_statement.py +++ b/vsg/vhdlFile/classify/if_generate_statement.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import if_generate_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import condition, generate_statement_body +from vsg.vhdlFile.classify import condition, generate_statement_body, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ if_generate_statement ::= *generate*_label : @@ -18,57 +19,63 @@ def detect(iToken, lObjects): end generate [ *generate*_label ] ; """ - if utils.are_next_consecutive_tokens([None, ":", "if"], iToken, lObjects): - return classify(iToken, lObjects) - if utils.are_next_consecutive_tokens(["if"], iToken, lObjects): - iIndex = utils.find_next_token(iToken, lObjects) - oToken = token.if_keyword(lObjects[iToken].get_value()) + if oDataStructure.are_next_consecutive_tokens([None, ":", "if"]): + classify(oDataStructure) + return True + if oDataStructure.is_next_token("if"): + iIndex = utils.find_next_token(iCurrent, lObjects) + oToken = token.if_keyword(lObjects[iCurrent].get_value()) utils.print_error_message("generate_label", oToken, iIndex, lObjects) - return iToken + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.generate_label, token.label_colon) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.generate_label, token.label_colon) - iCurrent = utils.assign_next_token_required("if", token.if_keyword, iCurrent, lObjects) + classify_if(oDataStructure) - if utils.are_next_consecutive_tokens([None, ":"], iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.alternative_label_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.alternative_label_colon, iCurrent, lObjects) + while oDataStructure.is_next_token("elsif"): + classify_elsif(oDataStructure) - iCurrent = condition.classify_until(["generate"], iCurrent, lObjects) + if oDataStructure.is_next_token("else"): + classify_else(oDataStructure) - iCurrent = utils.assign_next_token_required("generate", token.generate_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("generate", token.end_generate_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.end_generate_label) + oDataStructure.replace_next_token_required(";", token.semicolon) - iCurrent = generate_statement_body.classify(iCurrent, lObjects) - while utils.is_next_token("elsif", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("elsif", token.elsif_keyword, iCurrent, lObjects) +def classify_if(oDataStructure): + oDataStructure.replace_next_token_required("if", token.if_keyword) - if utils.are_next_consecutive_tokens([None, ":"], iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.alternative_label_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.alternative_label_colon, iCurrent, lObjects) + classify_line(oDataStructure) - iCurrent = condition.classify_until(["generate"], iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("generate", token.generate_keyword, iCurrent, lObjects) +def classify_elsif(oDataStructure): + oDataStructure.replace_next_token_required("elsif", token.elsif_keyword) - iCurrent = generate_statement_body.classify(iCurrent, lObjects) + classify_line(oDataStructure) - if utils.is_next_token("else", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("else", token.else_keyword, iCurrent, lObjects) - if utils.are_next_consecutive_tokens([None, ":"], iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.alternative_label_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.alternative_label_colon, iCurrent, lObjects) +def classify_else(oDataStructure): + oDataStructure.replace_next_token_required("else", token.else_keyword) - iCurrent = utils.assign_next_token_required("generate", token.generate_keyword, iCurrent, lObjects) + classify_line(oDataStructure) - iCurrent = generate_statement_body.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("generate", token.end_generate_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_generate_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) +def classify_line(oDataStructure): + classify_alternative_label(oDataStructure) - return iCurrent + condition.classify_until(["generate"], oDataStructure) + + oDataStructure.replace_next_token_required("generate", token.generate_keyword) + + generate_statement_body.classify(oDataStructure) + + +def classify_alternative_label(oDataStructure): + if oDataStructure.are_next_consecutive_tokens([None, ":"]): + oDataStructure.replace_next_token_with(token.alternative_label_name) + oDataStructure.replace_next_token_with(token.alternative_label_colon) diff --git a/vsg/vhdlFile/classify/if_statement.py b/vsg/vhdlFile/classify/if_statement.py index 8ab2488d4..407061c13 100644 --- a/vsg/vhdlFile/classify/if_statement.py +++ b/vsg/vhdlFile/classify/if_statement.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import if_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import condition, sequence_of_statements +from vsg.vhdlFile.classify import condition, sequence_of_statements, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ if_statement ::= [ if_label : ] @@ -17,32 +18,42 @@ def detect(iToken, lObjects): sequence_of_statements ] end if [ if_label ] ; """ + if utils.keyword_found("if", oDataStructure): + classify(oDataStructure) + return True + return False - if utils.keyword_found("if", iToken, lObjects): - return classify(iToken, lObjects) - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.if_label, token.label_colon) + oDataStructure.replace_next_token_required("if", token.if_keyword) + condition.classify_until(["then"], oDataStructure) + oDataStructure.replace_next_token_required("then", token.then_keyword) -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.if_label, token.label_colon) - iCurrent = utils.assign_next_token_required("if", token.if_keyword, iCurrent, lObjects) - iCurrent = condition.classify_until(["then"], iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("then", token.then_keyword, iCurrent, lObjects) + sequence_of_statements.detect(oDataStructure, ["elsif", "else", "end"]) - iCurrent = sequence_of_statements.detect(iCurrent, lObjects) - - while utils.is_next_token_one_of(["else", "elsif"], iCurrent, lObjects): - if utils.is_next_token("elsif", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("elsif", token.elsif_keyword, iCurrent, lObjects) - iCurrent = condition.classify_until(["then"], iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("then", token.then_keyword, iCurrent, lObjects) - iCurrent = sequence_of_statements.detect(iCurrent, lObjects) + while oDataStructure.is_next_token_one_of(["else", "elsif"]): + if oDataStructure.is_next_token("elsif"): + classify_elsif(oDataStructure) else: - iCurrent = utils.assign_next_token_required("else", token.else_keyword, iCurrent, lObjects) - iCurrent = sequence_of_statements.detect(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("if", token.end_if_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_if_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - return iCurrent + classify_else(oDataStructure) + + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("if", token.end_if_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.end_if_label) + oDataStructure.replace_next_token_required(";", token.semicolon) + + +@decorators.print_classifier_debug_info(__name__) +def classify_elsif(oDataStructure): + oDataStructure.replace_next_token_with(token.elsif_keyword) + condition.classify_until(["then"], oDataStructure) + oDataStructure.replace_next_token_required("then", token.then_keyword) + sequence_of_statements.detect(oDataStructure, ["elsif", "else", "end"]) + + +@decorators.print_classifier_debug_info(__name__) +def classify_else(oDataStructure): + oDataStructure.replace_next_token_with(token.else_keyword) + sequence_of_statements.detect(oDataStructure, ["end"]) diff --git a/vsg/vhdlFile/classify/incomplete_type_declaration.py b/vsg/vhdlFile/classify/incomplete_type_declaration.py index 21c7c93ec..86b227ef0 100644 --- a/vsg/vhdlFile/classify/incomplete_type_declaration.py +++ b/vsg/vhdlFile/classify/incomplete_type_declaration.py @@ -1,25 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import incomplete_type_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import identifier -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ incomplete_type_declaration ::= type identifier ; """ - if utils.are_next_consecutive_tokens(["type", None, ";"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens(["type", None, ";"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("type", token.type_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("type", token.type_keyword) - iCurrent = identifier.classify(iCurrent, lObjects, token.identifier) + identifier.classify(oDataStructure, token.identifier) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/index_constraint.py b/vsg/vhdlFile/classify/index_constraint.py index 869b61a25..bf8f9ce31 100644 --- a/vsg/vhdlFile/classify/index_constraint.py +++ b/vsg/vhdlFile/classify/index_constraint.py @@ -1,28 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import index_constraint as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import discrete_range -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +@decorators.push_pop_seek_index +@decorators.push_pop_current_index +def detect(oDataStructure): """ index_constraint ::= ( discrete_range { , discrete_range } ) """ - if utils.is_next_token("(", iToken, lObjects): - iCurrent = utils.find_next_token(iToken, lObjects) + 1 - if discrete_range.detect(iCurrent, lObjects): + + if oDataStructure.is_next_seek_token("("): + oDataStructure.increment_seek_index() + if discrete_range.detect(oDataStructure): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("(", token.open_parenthesis) - while not utils.is_next_token(")", iCurrent, lObjects): - iCurrent = discrete_range.classify_until([","], iCurrent, lObjects) - iCurrent = utils.assign_next_token_if(",", token.comma, iCurrent, lObjects) + while not oDataStructure.is_next_token(")"): + discrete_range.classify_until([","], oDataStructure) + oDataStructure.replace_next_token_with_if(",", token.comma) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - return iCurrent + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/index_subtype_definition.py b/vsg/vhdlFile/classify/index_subtype_definition.py index 4d1c41a0e..2d39cb896 100644 --- a/vsg/vhdlFile/classify/index_subtype_definition.py +++ b/vsg/vhdlFile/classify/index_subtype_definition.py @@ -1,17 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import index_subtype_definition as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import type_mark -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ index_subtype_definition ::= type_mark range <> """ - iCurrent = type_mark.classify(iToken, lObjects) - iCurrent = utils.assign_next_token_required("range", token.range_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("<>", token.undefined_range, iCurrent, lObjects) - return iCurrent + type_mark.classify(oDataStructure) + oDataStructure.replace_next_token_required("range", token.range_keyword) + oDataStructure.replace_next_token_required("<>", token.undefined_range) diff --git a/vsg/vhdlFile/classify/instantiated_unit.py b/vsg/vhdlFile/classify/instantiated_unit.py index a02c054b7..ad04e1c46 100644 --- a/vsg/vhdlFile/classify/instantiated_unit.py +++ b/vsg/vhdlFile/classify/instantiated_unit.py @@ -1,65 +1,63 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import instantiated_unit as token -from vsg.vhdlFile import utils -def detect(iCurrent, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ instantiated_unit ::= [ component ] component_name | entity entity_name [ ( *architecture*_identifier ) ] | configuration configuration_name """ - iToken = iCurrent - if utils.is_next_token_one_of(["component", "entity", "configuration"], iToken, lObjects): + + if oDataStructure.is_next_seek_token_one_of(["component", "entity", "configuration"]): return True - if utils.find_in_next_n_tokens(";", 2, iToken, lObjects): + if oDataStructure.does_string_exist_in_next_n_tokens(";", 2): return True # Check if this is a signal assignment - if utils.find_in_range("<=", iToken, ";", lObjects): + if oDataStructure.does_string_exist_before_string("<=", ";"): return False - if utils.find_in_range("generate", iToken, ";", lObjects): + if oDataStructure.does_string_exist_before_string("generate", ";"): return False return True -def classify(iToken, lObjects): - iCurrent = iToken - - if utils.is_next_token("component", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("component", token.component_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.component_name, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if oDataStructure.is_next_token("component"): + oDataStructure.replace_next_token_with(token.component_keyword) + oDataStructure.replace_next_token_with(token.component_name) - elif utils.is_next_token("configuration", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("configuration", token.configuration_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.configuration_name, iCurrent, lObjects) + elif oDataStructure.is_next_token("configuration"): + oDataStructure.replace_next_token_with(token.configuration_keyword) + oDataStructure.replace_next_token_with(token.configuration_name) - elif utils.is_next_token("entity", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("entity", token.entity_keyword, iCurrent, lObjects) + elif oDataStructure.is_next_token("entity"): + oDataStructure.replace_next_token_with(token.entity_keyword) - iCurrent = classify_entity_name(iCurrent, lObjects) + classify_entity_name(oDataStructure) - if utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(")", token.architecture_identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.open_parenthesis) + oDataStructure.replace_next_token_with_if_not(")", token.architecture_identifier) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) else: - iCurrent = utils.assign_next_token(token.component_name, iCurrent, lObjects) + oDataStructure.replace_next_token_with(token.component_name) - return iCurrent - -def classify_entity_name(iToken, lObjects): - iCurrent = utils.find_next_token(iToken, lObjects) - sTokenValue = lObjects[iCurrent].get_value() +@decorators.print_classifier_debug_info(__name__) +def classify_entity_name(oDataStructure): + oDataStructure.advance_to_next_token() + sTokenValue = oDataStructure.get_current_token_value() if "." in sTokenValue: lTokenValue = sTokenValue.split(".") - lObjects[iCurrent] = token.library_name(lTokenValue[0]) - lObjects.insert(iCurrent + 1, token.dot(".")) - lObjects.insert(iCurrent + 2, token.entity_name(lTokenValue[1])) - iCurrent = iCurrent + 2 + oDataStructure.lAllObjects[oDataStructure.iCurrent] = token.library_name(lTokenValue[0]) + oDataStructure.lAllObjects.insert(oDataStructure.iCurrent + 1, token.dot(".")) + oDataStructure.lAllObjects.insert(oDataStructure.iCurrent + 2, token.entity_name(lTokenValue[1])) + oDataStructure.iCurrent += 2 + oDataStructure.iEndIndex += 2 else: - iCurrent = utils.assign_next_token(token.entity_name, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_with(token.entity_name) diff --git a/vsg/vhdlFile/classify/instantiation_list.py b/vsg/vhdlFile/classify/instantiation_list.py index 3d3698113..fe0c6b6d7 100644 --- a/vsg/vhdlFile/classify/instantiation_list.py +++ b/vsg/vhdlFile/classify/instantiation_list.py @@ -1,27 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import instantiation_list as token -from vsg.vhdlFile import utils -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ instantiation_list ::= instantiation_label { , instantiation_label } | **others** | **all** """ + if oDataStructure.is_next_token("others"): + oDataStructure.replace_next_token_required("others", token.others_keyword) - if utils.is_next_token("others", iToken, lObjects): - return utils.assign_next_token_required("others", token.others_keyword, iToken, lObjects) + elif oDataStructure.is_next_token("all"): + oDataStructure.replace_next_token_required("all", token.all_keyword) - if utils.is_next_token("all", iToken, lObjects): - return utils.assign_next_token_required("all", token.all_keyword, iToken, lObjects) + else: + oDataStructure.replace_next_token_with(token.instantiation_label) - iCurrent = utils.assign_next_token(token.instantiation_label, iToken, lObjects) - - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iToken, lObjects) - iCurrent = utils.assign_next_token(token.instantiation_label, iToken, lObjects) - - return iCurrent + while oDataStructure.is_next_token(","): + oDataStructure.replace_next_token_required(",", token.comma) + oDataStructure.replace_next_token_with(token.instantiation_label) diff --git a/vsg/vhdlFile/classify/integer_type_definition.py b/vsg/vhdlFile/classify/integer_type_definition.py index 518a0e51c..c60125a9f 100644 --- a/vsg/vhdlFile/classify/integer_type_definition.py +++ b/vsg/vhdlFile/classify/integer_type_definition.py @@ -1,12 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import range_constraint -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ integer_type_definition ::= range_constraint """ - return range_constraint.detect(iToken, lObjects) + range_constraint.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_constant_declaration.py b/vsg/vhdlFile/classify/interface_constant_declaration.py index 73ef2648e..0e75e47d6 100644 --- a/vsg/vhdlFile/classify/interface_constant_declaration.py +++ b/vsg/vhdlFile/classify/interface_constant_declaration.py @@ -1,34 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_constant_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression, identifier_list, mode, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_constant_declaration ::= [ constant ] identifier_list : [ in ] subtype_indication [ := static_expression ] """ - if utils.is_next_token("constant", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.is_next_token("constant") -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("constant", token.constant_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.constant_keyword) - iCurrent = identifier_list.classify_until([":"], iCurrent, lObjects, token.identifier) + identifier_list.classify_until([":"], oDataStructure, token.identifier) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = mode.classify(iCurrent, lObjects) + mode.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - if utils.is_next_token(":=", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(":=", token.assignment, iCurrent, lObjects) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) - - return iCurrent + if oDataStructure.is_next_token(":="): + oDataStructure.replace_next_token_with(token.assignment) + expression.classify_until([";"], oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_declaration.py b/vsg/vhdlFile/classify/interface_declaration.py index 4f56c3fc1..84e7d6fb4 100644 --- a/vsg/vhdlFile/classify/interface_declaration.py +++ b/vsg/vhdlFile/classify/interface_declaration.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( interface_object_declaration, interface_package_declaration, @@ -8,7 +9,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_declaration ::= interface_object_declaration @@ -17,20 +19,19 @@ def detect(iToken, lObjects): | interface_package_declaration """ - iCurrent = interface_object_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if interface_object_declaration.detect(oDataStructure): + interface_object_declaration.classify(oDataStructure) + return True - iCurrent = interface_type_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if interface_type_declaration.detect(oDataStructure): + return True - iCurrent = interface_subprogram_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if interface_subprogram_declaration.detect(oDataStructure): + interface_subprogram_declaration.classify(oDataStructure) + return True - iCurrent = interface_package_declaration.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if interface_package_declaration.detect(oDataStructure): + interface_package_declaration.classify(oDataStructure) + return True - return iToken + return False diff --git a/vsg/vhdlFile/classify/interface_element.py b/vsg/vhdlFile/classify/interface_element.py index da4d32b1d..5930d545d 100644 --- a/vsg/vhdlFile/classify/interface_element.py +++ b/vsg/vhdlFile/classify/interface_element.py @@ -1,12 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import interface_declaration -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ interface_element ::= interface_declaration """ - return interface_declaration.detect(iToken, lObjects) + interface_declaration.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_file_declaration.py b/vsg/vhdlFile/classify/interface_file_declaration.py index b72cd44d7..05bb17db4 100644 --- a/vsg/vhdlFile/classify/interface_file_declaration.py +++ b/vsg/vhdlFile/classify/interface_file_declaration.py @@ -1,28 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_file_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import identifier_list, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_file_declaration ::= file identifier_list : subtype_indication """ - if utils.is_next_token("file", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.is_next_token("file") -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("file", token.file_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.file_keyword) - iCurrent = identifier_list.classify_until([":"], iCurrent, lObjects, token.identifier) + identifier_list.classify_until([":"], oDataStructure, token.identifier) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = subtype_indication.classify(iCurrent, lObjects) - - return iCurrent + subtype_indication.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_function_specification.py b/vsg/vhdlFile/classify/interface_function_specification.py index 01d15d968..5123f2a48 100644 --- a/vsg/vhdlFile/classify/interface_function_specification.py +++ b/vsg/vhdlFile/classify/interface_function_specification.py @@ -1,41 +1,35 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_function_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import formal_parameter_list, type_mark -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_function_specification ::= [ pure | impure ] function designator [ [ parameter ] ( formal_parameter_list ) ] return type_mark """ - if utils.is_next_token("pure", iToken, lObjects): - return classify(iToken, lObjects) - elif utils.is_next_token("impure", iToken, lObjects): - return classify(iToken, lObjects) - elif utils.is_next_token("function", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.is_next_token_one_of(["pure", "impure", "function"]) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("pure", token.pure_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("impure", token.impure_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("function", token.function_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.designator, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("parameter", token.parameter_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("pure", token.pure_keyword) + oDataStructure.replace_next_token_with_if("impure", token.impure_keyword) + oDataStructure.replace_next_token_required("function", token.function_keyword) + oDataStructure.replace_next_token_with(token.designator) + oDataStructure.replace_next_token_with_if("parameter", token.parameter_keyword) - if utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.open_parenthesis) - iCurrent = formal_parameter_list.classify(iCurrent, lObjects) + formal_parameter_list.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) - iCurrent = utils.assign_next_token_required("return", token.return_keyword, iToken, lObjects) - iCurrent = type_mark.classify(iToken, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("return", token.return_keyword) + type_mark.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_incomplete_type_declaration.py b/vsg/vhdlFile/classify/interface_incomplete_type_declaration.py index aae3fce5d..2bc816263 100644 --- a/vsg/vhdlFile/classify/interface_incomplete_type_declaration.py +++ b/vsg/vhdlFile/classify/interface_incomplete_type_declaration.py @@ -1,22 +1,20 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_incomplete_type_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import identifier -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_incomplete_type_declaration ::= type identifier """ - if utils.is_next_token("type", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.is_next_token("type") -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("type", token.type_keyword, iToken, lObjects) - iCurrent = identifier.classify(iCurrent, lObjects, token.identifier) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("type", token.type_keyword) + identifier.classify(oDataStructure, token.identifier) diff --git a/vsg/vhdlFile/classify/interface_list.py b/vsg/vhdlFile/classify/interface_list.py index 1c8de1937..93c0e4093 100644 --- a/vsg/vhdlFile/classify/interface_list.py +++ b/vsg/vhdlFile/classify/interface_list.py @@ -1,20 +1,20 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_list as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import interface_element -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ interface_list ::= interface_element { ; interface_element } """ - iCurrent = interface_element.classify(iToken, lObjects) + interface_element.classify(oDataStructure) - while utils.is_next_token(";", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - iCurrent = interface_element.classify(iCurrent, lObjects) + while oDataStructure.is_next_token(";"): + oDataStructure.replace_next_token_with(token.semicolon) - return iCurrent + interface_element.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_object_declaration.py b/vsg/vhdlFile/classify/interface_object_declaration.py index 64e193dad..efbf6e316 100644 --- a/vsg/vhdlFile/classify/interface_object_declaration.py +++ b/vsg/vhdlFile/classify/interface_object_declaration.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( interface_constant_declaration, interface_file_declaration, @@ -9,7 +10,8 @@ ) -def detect(iCurrent, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_object_declaration ::= interface_constant_declaration @@ -18,26 +20,19 @@ def detect(iCurrent, lObjects): | interface_file_declaration """ - iReturn = interface_constant_declaration.detect(iCurrent, lObjects) - if iReturn != iCurrent: - return iReturn + if interface_constant_declaration.detect(oDataStructure): + return interface_constant_declaration.classify(oDataStructure) - iReturn = interface_signal_declaration.detect(iCurrent, lObjects) - if iReturn != iCurrent: - return iReturn + if interface_signal_declaration.detect(oDataStructure): + return interface_signal_declaration.classify(oDataStructure) - iReturn = interface_variable_declaration.detect(iCurrent, lObjects) - if iReturn != iCurrent: - return iReturn + if interface_variable_declaration.detect(oDataStructure): + return interface_variable_declaration.classify(oDataStructure) - iReturn = interface_file_declaration.detect(iCurrent, lObjects) - if iReturn != iCurrent: - return iReturn + if interface_file_declaration.detect(oDataStructure): + return interface_file_declaration.classify(oDataStructure) - ### This captures constant, signal and variable declarations without optional keywords - ### This is typically done in port lists - iReturn = interface_unknown_declaration.detect(iCurrent, lObjects) - if iReturn != iCurrent: - return iReturn + if interface_unknown_declaration.detect(oDataStructure): + return interface_unknown_declaration.classify(oDataStructure) - return iCurrent + return False diff --git a/vsg/vhdlFile/classify/interface_package_declaration.py b/vsg/vhdlFile/classify/interface_package_declaration.py index 6754b201b..a05ed0512 100644 --- a/vsg/vhdlFile/classify/interface_package_declaration.py +++ b/vsg/vhdlFile/classify/interface_package_declaration.py @@ -1,30 +1,28 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_package_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import identifier, interface_package_generic_map_aspect -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_package_declaration ::= package identifier is new *uninstantiated_package*_name interface_package_generic_map_aspect """ - if utils.is_next_token("package", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.is_next_token("package") -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("package", token.package_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("package", token.package_keyword) - iCurrent = identifier.classify(iCurrent, lObjects) + identifier.classify(oDataStructure) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("new", token.new_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.uninstantiated_package_name, iCurrent, lObjects) + oDataStructure.replace_next_token_required("is", token.is_keyword) + oDataStructure.replace_next_token_required("new", token.new_keyword) + oDataStructure.replace_next_token_with(token.uninstantiated_package_name) - iCurrent = interface_package_generic_map_aspect.detect(iCurrent, lObjects) - - return iCurrent + interface_package_generic_map_aspect.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_package_generic_map_aspect.py b/vsg/vhdlFile/classify/interface_package_generic_map_aspect.py index 96f107712..7c8fca3ed 100644 --- a/vsg/vhdlFile/classify/interface_package_generic_map_aspect.py +++ b/vsg/vhdlFile/classify/interface_package_generic_map_aspect.py @@ -1,32 +1,33 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_package_generic_map_aspect as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import generic_map_aspect -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_package_generic_map_aspect ::= generic_map_aspect | generic map ( <> ) | generic map ( default ) """ - if utils.are_next_consecutive_tokens(["generic", "map", "(", "<>"], iToken, lObjects): - return classify(iToken, lObjects) - elif utils.are_next_consecutive_tokens(["generic", "map", "(", "default"], iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.are_next_consecutive_tokens(["generic", "map", "(", "<>"]): + classify(oDataStructure) + return True + elif oDataStructure.are_next_consecutive_tokens(["generic", "map", "(", "default"]): + classify(oDataStructure) + return True else: - return generic_map_aspect.classify(iToken, lObjects) - return iToken + return generic_map_aspect.detect(oDataStructure) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("generic", token.generic_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("map", token.map_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iToken, lObjects) - iCurrent = utils.assign_next_token_if("default", token.default_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("<>", token.undefined_range, iToken, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iToken, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("generic", token.generic_keyword) + oDataStructure.replace_next_token_required("map", token.map_keyword) + oDataStructure.replace_next_token_required("(", token.open_parenthesis) + oDataStructure.replace_next_token_with_if("default", token.default_keyword) + oDataStructure.replace_next_token_with_if("<>", token.undefined_range) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/interface_procedure_specification.py b/vsg/vhdlFile/classify/interface_procedure_specification.py index 45aa8037c..d4cba53a3 100644 --- a/vsg/vhdlFile/classify/interface_procedure_specification.py +++ b/vsg/vhdlFile/classify/interface_procedure_specification.py @@ -1,29 +1,27 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_procedure_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import formal_parameter_list -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_procedure_specification ::= procedure designator [ [ parameter ] ( formal_parameter_list ) ] """ - if utils.is_next_token("procedure", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.is_next_token("procedure") -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("procedure", token.procedure_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.designator, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("parameter", token.parameter_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.procedure_keyword) + oDataStructure.replace_next_token_with(token.designator) + oDataStructure.replace_next_token_with_if("parameter", token.parameter_keyword) - if utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = formal_parameter_list.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - - return iCurrent + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.open_parenthesis) + formal_parameter_list.classify(oDataStructure) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/interface_signal_declaration.py b/vsg/vhdlFile/classify/interface_signal_declaration.py index 759c3752a..e24c35aea 100644 --- a/vsg/vhdlFile/classify/interface_signal_declaration.py +++ b/vsg/vhdlFile/classify/interface_signal_declaration.py @@ -1,38 +1,35 @@ # -*- coding: utf-8 -*- - +from vsg import decorators from vsg.token import interface_signal_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression, identifier_list, mode, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_signal_declaration ::= [ signal ] identifier_list : [ mode ] subtype_indication [ bus ] [ := *static*_expression ] """ - if utils.is_next_token("signal", iToken, lObjects): - return classify(iToken, lObjects) - return iToken - + return oDataStructure.is_next_token("signal") -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("signal", token.signal_keyword, iToken, lObjects) - iCurrent = identifier_list.classify_until([":"], iCurrent, lObjects, token.identifier) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.signal_keyword) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + identifier_list.classify_until([":"], oDataStructure, token.identifier) - iCurrent = mode.classify(iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = subtype_indication.classify(iCurrent, lObjects) + mode.classify(oDataStructure) - iCurrent = utils.assign_next_token_if("bus", token.bus_keyword, iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - if utils.is_next_token(":=", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(":=", token.assignment, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("bus", token.bus_keyword) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) + if oDataStructure.is_next_token(":="): + oDataStructure.replace_next_token_with(token.assignment) - return iCurrent + expression.classify_until([";"], oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_subprogram_declaration.py b/vsg/vhdlFile/classify/interface_subprogram_declaration.py index f406682c9..0310f3068 100644 --- a/vsg/vhdlFile/classify/interface_subprogram_declaration.py +++ b/vsg/vhdlFile/classify/interface_subprogram_declaration.py @@ -1,30 +1,27 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_subprogram_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( interface_subprogram_default, interface_subprogram_specification, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_subprogram_declaration ::= interface_subprogram_specification [ is interface_subprogram_default ] """ - iCurrent = utils.find_next_token(iToken, lObjects) - iLast = iCurrent - iCurrent = interface_subprogram_specification.detect(iCurrent, lObjects) - if iLast != iCurrent: - iCurrent = utils.find_next_token(iToken, lObjects) - if utils.object_value_is(lObjects, iCurrent, "is"): - return classify(iCurrent, lObjects) - return iToken + if interface_subprogram_specification.detect(oDataStructure): + return oDataStructure.is_next_token("is") + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("is", token.is_keyword, iToken, lObjects) - iCurrent = interface_subprogram_default.classify(iCurrent, lObjects) - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("is", token.is_keyword) + + interface_subprogram_default.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_subprogram_default.py b/vsg/vhdlFile/classify/interface_subprogram_default.py index b5543caac..4223b8288 100644 --- a/vsg/vhdlFile/classify/interface_subprogram_default.py +++ b/vsg/vhdlFile/classify/interface_subprogram_default.py @@ -1,14 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_subprogram_default as token -from vsg.vhdlFile import utils -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ interface_subprogram_default ::= *subprogram*_name | <> """ - if utils.is_next_token("<>", iToken, lObjects): - return utils.assign_next_token_required("<>", token.undefined_range, iToken, lObjects) - return utils.classify_next_token(token.subprogram_name, iToken, lObjects) + + if oDataStructure.is_next_token("<>"): + oDataStructure.replace_next_token_with(token.undefined_range) + else: + oDataStructure.replace_next_token_with(token.subprogram_name) diff --git a/vsg/vhdlFile/classify/interface_subprogram_specification.py b/vsg/vhdlFile/classify/interface_subprogram_specification.py index 8b615a9b8..f5ee3a88a 100644 --- a/vsg/vhdlFile/classify/interface_subprogram_specification.py +++ b/vsg/vhdlFile/classify/interface_subprogram_specification.py @@ -1,24 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( interface_function_specification, interface_procedure_specification, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_subprogram_specification ::= interface_procedure_specification | interface_function_specification """ - iCurrent = interface_procedure_specification.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if interface_procedure_specification.detect(oDataStructure): + interface_procedure_specification.classify(oDataStructure) + return True - iCurrent = interface_function_specification.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if interface_function_specification.detect(oDataStructure): + interface_function_specification.classify(oDataStructure) + return True - return iToken + return False diff --git a/vsg/vhdlFile/classify/interface_type_declaration.py b/vsg/vhdlFile/classify/interface_type_declaration.py index 457740349..2713bb60e 100644 --- a/vsg/vhdlFile/classify/interface_type_declaration.py +++ b/vsg/vhdlFile/classify/interface_type_declaration.py @@ -1,12 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import interface_incomplete_type_declaration -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_type_declaration ::= interface_incomplete_type_declaration """ - return interface_incomplete_type_declaration.detect(iToken, lObjects) + if interface_incomplete_type_declaration.detect(oDataStructure): + interface_incomplete_type_declaration.classify(oDataStructure) + return True + + return False diff --git a/vsg/vhdlFile/classify/interface_unknown_declaration.py b/vsg/vhdlFile/classify/interface_unknown_declaration.py index f8a9b0cd9..5617d7130 100644 --- a/vsg/vhdlFile/classify/interface_unknown_declaration.py +++ b/vsg/vhdlFile/classify/interface_unknown_declaration.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_unknown_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression, identifier_list, mode, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ This is a classification if the signal, constant, or variable keywords can not be found. This is not in the VHDL LRM. @@ -15,26 +16,22 @@ def detect(iToken, lObjects): identifier_list : [ mode ] subtype_indication [ bus ] [ := *static*_expression ] """ - if utils.is_next_token_one_of(["type", "file", "function", "procedure", "impure", "pure", "package"], iToken, lObjects): - return iToken - else: - return classify(iToken, lObjects) + return not oDataStructure.is_next_token_one_of(["type", "file", "function", "procedure", "impure", "pure", "package"]) -def classify(iToken, lObjects): - iCurrent = identifier_list.classify_until([":"], iToken, lObjects, token.identifier) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + identifier_list.classify_until([":"], oDataStructure, token.identifier) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = mode.classify(iCurrent, lObjects) + mode.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - iCurrent = utils.assign_next_token_if("bus", token.bus_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("bus", token.bus_keyword) - if utils.is_next_token(":=", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(":=", token.assignment, iCurrent, lObjects) + if oDataStructure.is_next_token(":="): + oDataStructure.replace_next_token_required(":=", token.assignment) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) - - return iCurrent + expression.classify_until([";"], oDataStructure) diff --git a/vsg/vhdlFile/classify/interface_variable_declaration.py b/vsg/vhdlFile/classify/interface_variable_declaration.py index 9cb1f6e6d..c6501a1cc 100644 --- a/vsg/vhdlFile/classify/interface_variable_declaration.py +++ b/vsg/vhdlFile/classify/interface_variable_declaration.py @@ -1,34 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import interface_variable_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression, identifier_list, mode, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ interface_variable_declaration ::= [ variable ] identifier_list : [ mode ] subtype_indication [ := static_expression ] """ - if utils.is_next_token("variable", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.is_next_token("variable") -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("variable", token.variable_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.variable_keyword) - iCurrent = identifier_list.classify_until([":"], iCurrent, lObjects, token.identifier) + identifier_list.classify_until([":"], oDataStructure, token.identifier) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = mode.classify(iCurrent, lObjects) + mode.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - if utils.is_next_token(":=", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(":=", token.assignment, iCurrent, lObjects) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) - - return iCurrent + if oDataStructure.is_next_token(":="): + oDataStructure.replace_next_token_with(token.assignment) + expression.classify_until([";"], oDataStructure) diff --git a/vsg/vhdlFile/classify/iteration_scheme.py b/vsg/vhdlFile/classify/iteration_scheme.py index 80cdf8f31..ed9288b0d 100644 --- a/vsg/vhdlFile/classify/iteration_scheme.py +++ b/vsg/vhdlFile/classify/iteration_scheme.py @@ -1,36 +1,34 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import iteration_scheme as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import condition, parameter_specification -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ iteration_scheme ::= while condition | for *loop*_parameter_specification """ - if utils.find_in_next_n_tokens(";", 3, iToken, lObjects): + if oDataStructure.does_string_exist_in_next_n_tokens(";", 3): return False - if utils.find_in_next_n_tokens("else", 3, iToken, lObjects): + if oDataStructure.does_string_exist_in_next_n_tokens("else", 3): return False - if utils.find_in_next_n_tokens("while", 3, iToken, lObjects): + if oDataStructure.does_string_exist_in_next_n_tokens("while", 3): return True - if utils.find_in_next_n_tokens("for", 3, iToken, lObjects): + if oDataStructure.does_string_exist_in_next_n_tokens("for", 3): return True return False -def classify(iToken, lObjects): - if utils.is_next_token("while", iToken, lObjects): - iCurrent = utils.assign_next_token_required("while", token.while_keyword, iToken, lObjects) - iCurrent = condition.classify_until(["loop"], iToken, lObjects) - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if oDataStructure.is_next_token("while"): + oDataStructure.replace_next_token_required("while", token.while_keyword) + condition.classify_until(["loop"], oDataStructure) - if utils.is_next_token("for", iToken, lObjects): - iCurrent = utils.assign_next_token_required("for", token.for_keyword, iToken, lObjects) - iCurrent = parameter_specification.classify_until(["loop"], iToken, lObjects) - return iCurrent - - return iToken + if oDataStructure.is_next_token("for"): + oDataStructure.replace_next_token_required("for", token.for_keyword) + parameter_specification.classify_until(["loop"], oDataStructure) diff --git a/vsg/vhdlFile/classify/library_clause.py b/vsg/vhdlFile/classify/library_clause.py index 73fd20717..83e2d928e 100644 --- a/vsg/vhdlFile/classify/library_clause.py +++ b/vsg/vhdlFile/classify/library_clause.py @@ -1,26 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import library_clause as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import logical_name_list -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ library_clause ::= library logic_name_list ; """ - if utils.is_next_token("library", iToken, lObjects): - iCurrent = classify(iToken, lObjects) - return iCurrent - return iToken + if oDataStructure.is_next_token("library"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("library", token.keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_current_token_with(token.keyword) - iCurrent = logical_name_list.classify_until([";"], iCurrent, lObjects) + logical_name_list.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/library_unit.py b/vsg/vhdlFile/classify/library_unit.py index 8ef6128f2..39e3a25a0 100644 --- a/vsg/vhdlFile/classify/library_unit.py +++ b/vsg/vhdlFile/classify/library_unit.py @@ -1,21 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import primary_unit, secondary_unit -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ library_unit ::= primary_unit | secondary_unit """ - iCurrent = primary_unit.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent + if primary_unit.detect(oDataStructure): + return True - iCurrent = secondary_unit.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - return iToken + return secondary_unit.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/logical_name_list.py b/vsg/vhdlFile/classify/logical_name_list.py index 9a002485f..722f8a764 100644 --- a/vsg/vhdlFile/classify/logical_name_list.py +++ b/vsg/vhdlFile/classify/logical_name_list.py @@ -1,19 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import logical_name_list as token -from vsg.vhdlFile import utils -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ logical_name_list ::= logical_name { , logical_name } """ - iCurrent = iToken - iLast = 0 - while iLast != iCurrent: - iLast = iCurrent - if lObjects[utils.find_next_token(iCurrent, lObjects)].get_lower_value() in lUntils: - return iCurrent - iCurrent = utils.assign_next_token_if(",", token.comma, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.logical_name, iCurrent, lObjects) + while not oDataStructure.is_next_token_one_of(lUntils): + oDataStructure.replace_next_token_with(token.logical_name) + oDataStructure.replace_next_token_with_if(",", token.comma) diff --git a/vsg/vhdlFile/classify/loop_statement.py b/vsg/vhdlFile/classify/loop_statement.py index bb9e52974..1fb4c694a 100644 --- a/vsg/vhdlFile/classify/loop_statement.py +++ b/vsg/vhdlFile/classify/loop_statement.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import loop_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import iteration_scheme, sequence_of_statements +from vsg.vhdlFile.classify import iteration_scheme, sequence_of_statements, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ loop_statement ::= [ loop_label : ] @@ -13,34 +14,32 @@ def detect(iToken, lObjects): sequence_of_statements end loop [ loop_label ] ; """ - if utils.find_in_next_n_tokens(":", 2, iToken, lObjects): - iCurrent = utils.find_next_token(iToken, lObjects) - iCurrent += 1 - iCurrent = utils.find_next_token(iCurrent, lObjects) - iCurrent += 1 - else: - iCurrent = iToken - if iteration_scheme.detect(iCurrent, lObjects): - return classify(iToken, lObjects) - if utils.is_next_token("loop", iCurrent, lObjects): - return classify(iToken, lObjects) + if oDataStructure.are_next_consecutive_tokens([None, ":"]): + oDataStructure.increment_seek_index() + oDataStructure.advance_to_next_seek_token() + oDataStructure.increment_seek_index() - return iToken + if oDataStructure.is_next_seek_token("loop"): + classify(oDataStructure) + return True + if iteration_scheme.detect(oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.loop_label, token.label_colon) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.loop_label, token.label_colon) - iCurrent = iteration_scheme.classify(iCurrent, lObjects) + iteration_scheme.classify(oDataStructure) - iCurrent = utils.assign_next_token_required("loop", token.loop_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("loop", token.loop_keyword) - iCurrent = sequence_of_statements.detect(iCurrent, lObjects) + sequence_of_statements.detect(oDataStructure, "end") - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("loop", token.end_loop_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_loop_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("loop", token.end_loop_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.end_loop_label) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/mode.py b/vsg/vhdlFile/classify/mode.py index c142f6812..d2acecfa4 100644 --- a/vsg/vhdlFile/classify/mode.py +++ b/vsg/vhdlFile/classify/mode.py @@ -1,19 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import mode as token -from vsg.vhdlFile import utils -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ mode ::= in | out | inout | buffer | linkage """ - iCurrent = utils.assign_next_token_if("in", token.in_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("out", token.out_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("inout", token.inout_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("buffer", token.buffer_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if("linkage", token.linkage_keyword, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_with_if("in", token.in_keyword) + oDataStructure.replace_next_token_with_if("out", token.out_keyword) + oDataStructure.replace_next_token_with_if("inout", token.inout_keyword) + oDataStructure.replace_next_token_with_if("buffer", token.buffer_keyword) + oDataStructure.replace_next_token_with_if("linkage", token.linkage_keyword) diff --git a/vsg/vhdlFile/classify/name.py b/vsg/vhdlFile/classify/name.py index 0eb176cb7..c39a8e735 100644 --- a/vsg/vhdlFile/classify/name.py +++ b/vsg/vhdlFile/classify/name.py @@ -1,12 +1,12 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators, parser from vsg.token import direction -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import external_name +from vsg.vhdlFile.classify import external_name, utils -def classify_until(lUntils, iToken, lObjects, oType=parser.todo): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure, oType=parser.todo): """ name ::= simple_name @@ -21,24 +21,17 @@ def classify_until(lUntils, iToken, lObjects, oType=parser.todo): NOTE: At the moment, everything will be set to parser.todo. """ - iReturn = external_name.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - iCurrent = iToken - iStop = len(lObjects) - 1 - iOpenParenthesis = 0 - iCloseParenthesis = 0 - while iCurrent < iStop: - iCurrent = utils.find_next_token(iCurrent, lObjects) - if utils.token_is_open_parenthesis(iCurrent, lObjects): - iOpenParenthesis += 1 - if utils.token_is_close_parenthesis(iCurrent, lObjects): - iCloseParenthesis += 1 - if iOpenParenthesis < iCloseParenthesis: + if external_name.detect(oDataStructure): + return None + + iParen = 0 + while oDataStructure.advance_to_next_token(): + iParen = utils.update_paren_counter(iParen, oDataStructure) + + if utils.unmatched_close_paren_found(iParen): break - elif lObjects[iCurrent].get_lower_value() in lUntils: + + if oDataStructure.get_current_token_lower_value() in lUntils: break else: - utils.assign_special_tokens(lObjects, iCurrent, oType) - return iCurrent + utils.assign_special_tokens(oDataStructure, oType) diff --git a/vsg/vhdlFile/classify/next_statement.py b/vsg/vhdlFile/classify/next_statement.py index 0ea7b3424..e2564ff6c 100644 --- a/vsg/vhdlFile/classify/next_statement.py +++ b/vsg/vhdlFile/classify/next_statement.py @@ -1,33 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import next_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import condition +from vsg.vhdlFile.classify import condition, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ next_statement ::= [ label : ] next [ loop_label ] [ when condition ] ; """ - if utils.are_next_consecutive_tokens([None, ":", "next"], iToken, lObjects): - return classify(iToken, lObjects) - if utils.is_next_token("next", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if utils.keyword_found("next", oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label, token.label_colon) - iCurrent = utils.assign_next_token_required("next", token.next_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + oDataStructure.replace_next_token_required("next", token.next_keyword) - if not utils.is_next_token(";", iCurrent, lObjects) and not utils.is_next_token("when", iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.loop_label, iCurrent, lObjects) + if not oDataStructure.is_next_token(";") and not oDataStructure.is_next_token("when"): + oDataStructure.replace_next_token_with(token.loop_label) - if utils.is_next_token("when", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) - iCurrent = condition.classify_until([";"], iCurrent, lObjects) + if oDataStructure.is_next_token("when"): + oDataStructure.replace_next_token_with(token.when_keyword) + condition.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/null_statement.py b/vsg/vhdlFile/classify/null_statement.py index 4d281225c..ccc0e6214 100644 --- a/vsg/vhdlFile/classify/null_statement.py +++ b/vsg/vhdlFile/classify/null_statement.py @@ -1,24 +1,24 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import null_statement as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ null_statement ::= [ label : ] null ; """ - if utils.are_next_consecutive_tokens([None, ":", "null"], iToken, lObjects): - return classify(iToken, lObjects) - if utils.is_next_token("null", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if utils.keyword_found("null", oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label, token.label_colon) - iCurrent = utils.assign_next_token_required("null", token.null_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + oDataStructure.replace_next_token_required("null", token.null_keyword) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/package_body.py b/vsg/vhdlFile/classify/package_body.py index 8c99b6b20..1059fecd8 100644 --- a/vsg/vhdlFile/classify/package_body.py +++ b/vsg/vhdlFile/classify/package_body.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import package_body as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import package_body_declarative_part -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ package_body ::= package body *package*_simple_name is @@ -13,36 +14,26 @@ def detect(iToken, lObjects): end [ package body ] [ *package*_simple_name ] ; """ - if utils.are_next_consecutive_tokens(["package", "body", None, "is"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens(["package", "body", None, "is"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = classify_opening_declaration(iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.package_keyword) + oDataStructure.replace_next_token_required("body", token.body_keyword) + oDataStructure.replace_next_token_with(token.package_simple_name) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = package_body_declarative_part.detect(iCurrent, lObjects) + package_body_declarative_part.detect(oDataStructure) - iCurrent = classify_closing_declaration(iToken, lObjects) + oDataStructure.replace_next_token_required("end", token.end_keyword) - return iCurrent + if oDataStructure.is_next_token("package"): + oDataStructure.replace_next_token_with(token.end_package_keyword) + oDataStructure.replace_next_token_required("body", token.end_body_keyword) - -def classify_opening_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("package", token.package_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("body", token.body_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.package_simple_name, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - - return iCurrent - - -def classify_closing_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - if utils.are_next_consecutive_tokens(["package"], iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("package", token.end_package_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("body", token.end_body_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_package_simple_name, iToken, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iToken, lObjects) - - return iCurrent + oDataStructure.replace_next_token_with_if_not(";", token.end_package_simple_name) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/package_body_declarative_item.py b/vsg/vhdlFile/classify/package_body_declarative_item.py index bea7eee02..c1b47d3ee 100644 --- a/vsg/vhdlFile/classify/package_body_declarative_item.py +++ b/vsg/vhdlFile/classify/package_body_declarative_item.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( alias_declaration, attribute_declaration, @@ -20,7 +21,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ package_body_declarative_item ::= subprogram_declaration @@ -42,65 +44,47 @@ def detect(iToken, lObjects): | group_declaration """ - iReturn = subprogram_declaration.detect(iToken, lObjects) - if iReturn != iToken: - iReturn = subprogram_body.detect(iReturn, lObjects) - return iReturn + if subprogram_declaration.detect(oDataStructure): + subprogram_body.detect(oDataStructure) + return True - iReturn = subprogram_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subprogram_instantiation_declaration.detect(oDataStructure): + return True - iReturn = package_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_declaration.detect(oDataStructure): + return True - iReturn = package_body.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_body.detect(oDataStructure): + return True - iReturn = package_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_instantiation_declaration.detect(oDataStructure): + return True - iReturn = type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if type_declaration.detect(oDataStructure): + return True - iReturn = subtype_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subtype_declaration.detect(oDataStructure): + return True - iReturn = constant_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if constant_declaration.detect(oDataStructure): + return True - iReturn = variable_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if variable_declaration.detect(oDataStructure): + return True - iReturn = file_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if file_declaration.detect(oDataStructure): + return True - iReturn = alias_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if alias_declaration.detect(oDataStructure): + return True - iReturn = component_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if component_declaration.detect(oDataStructure): + return True - iReturn = attribute_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_declaration.detect(oDataStructure): + return True - iReturn = attribute_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_specification.detect(oDataStructure): + return True - iReturn = use_clause.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return use_clause.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/package_body_declarative_part.py b/vsg/vhdlFile/classify/package_body_declarative_part.py index aed9e779c..c7d3003c0 100644 --- a/vsg/vhdlFile/classify/package_body_declarative_part.py +++ b/vsg/vhdlFile/classify/package_body_declarative_part.py @@ -1,17 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import package_body_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ package_body_declarative_part ::= { package_body_declarative_item } """ - iLast = 0 - iCurrent = iToken - while iLast != iCurrent: - iLast = iCurrent - iCurrent = package_body_declarative_item.detect(iCurrent, lObjects) - return iCurrent + while package_body_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/package_declaration.py b/vsg/vhdlFile/classify/package_declaration.py index 43fb81a1a..ccdafb77f 100644 --- a/vsg/vhdlFile/classify/package_declaration.py +++ b/vsg/vhdlFile/classify/package_declaration.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import package_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import package_declarative_part, package_header -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ package_declaration ::= package identifier is @@ -14,41 +15,25 @@ def detect(iToken, lObjects): end [ package ] [ package_simple_name ] ; """ - iCurrent = utils.find_next_token(iToken, lObjects) - if utils.object_value_is(lObjects, iCurrent, "package"): - if not utils.find_in_next_n_tokens("body", 5, iCurrent, lObjects): - if not utils.find_in_next_n_tokens("new", 5, iCurrent, lObjects): - return classify(iToken, lObjects) - else: - return iToken + if oDataStructure.is_next_token("package"): + if not oDataStructure.does_string_exist_in_next_n_tokens("body", 5): + if not oDataStructure.does_string_exist_in_next_n_tokens("new", 5): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.package_keyword) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required("is", token.is_keyword) -def classify(iToken, lObjects): - iCurrent = classify_opening_declaration(iToken, lObjects) + package_header.detect(oDataStructure) - iCurrent = package_header.detect(iCurrent, lObjects) + package_declarative_part.detect(oDataStructure) - iCurrent = package_declarative_part.detect(iCurrent, lObjects) - - iCurrent = classify_closing_declaration(iCurrent, lObjects) - - return iCurrent - - -def classify_opening_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("package", token.package_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - - return iCurrent - - -def classify_closing_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("package", token.end_package_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_package_simple_name, iToken, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iToken, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_with_if("package", token.end_package_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.end_package_simple_name) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/package_declarative_item.py b/vsg/vhdlFile/classify/package_declarative_item.py index 4b91da3cc..4e5496d82 100644 --- a/vsg/vhdlFile/classify/package_declarative_item.py +++ b/vsg/vhdlFile/classify/package_declarative_item.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( alias_declaration, attribute_declaration, @@ -21,7 +22,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ package_declarative_item ::= subprogram_declaration @@ -46,72 +48,52 @@ def detect(iToken, lObjects): | PSL_Sequence_Declaration """ - iReturn = subprogram_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subprogram_declaration.detect(oDataStructure): + return True - iReturn = subprogram_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subprogram_instantiation_declaration.detect(oDataStructure): + return True - iReturn = package_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_declaration.detect(oDataStructure): + return True - iReturn = package_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_instantiation_declaration.detect(oDataStructure): + return True - iReturn = type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if type_declaration.detect(oDataStructure): + return True - iReturn = subtype_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subtype_declaration.detect(oDataStructure): + return True - iReturn = constant_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if constant_declaration.detect(oDataStructure): + return True - iReturn = signal_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if signal_declaration.detect(oDataStructure): + return True - iReturn = variable_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if variable_declaration.detect(oDataStructure): + return True - iReturn = file_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if file_declaration.detect(oDataStructure): + return True - iReturn = alias_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if alias_declaration.detect(oDataStructure): + return True - iReturn = component_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if component_declaration.detect(oDataStructure): + return True - iReturn = attribute_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_declaration.detect(oDataStructure): + return True - iReturn = attribute_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_specification.detect(oDataStructure): + return True - iReturn = use_clause.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if use_clause.detect(oDataStructure): + return True - iReturn = psl_property_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if psl_property_declaration.detect(oDataStructure): + return True - iReturn = psl_sequence_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return psl_sequence_declaration.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/package_declarative_part.py b/vsg/vhdlFile/classify/package_declarative_part.py index 812af63a2..98d17bd31 100644 --- a/vsg/vhdlFile/classify/package_declarative_part.py +++ b/vsg/vhdlFile/classify/package_declarative_part.py @@ -1,17 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import package_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ package_declarative_part ::= { package_declarative_item } """ - iLast = 0 - iCurrent = iToken - while iLast != iCurrent: - iLast = iCurrent - iCurrent = package_declarative_item.detect(iCurrent, lObjects) - return iCurrent + while package_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/package_header.py b/vsg/vhdlFile/classify/package_header.py index 744dd2240..7012afa3c 100644 --- a/vsg/vhdlFile/classify/package_header.py +++ b/vsg/vhdlFile/classify/package_header.py @@ -1,22 +1,21 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import package_header as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import generic_clause, generic_map_aspect -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ package_header ::= [ generic_clause [ generic_map_aspect ; ] ] """ - iCurrent = generic_clause.detect(iToken, lObjects) - - iLast = iCurrent - iCurrent = generic_map_aspect.detect(iCurrent, lObjects) - if iCurrent != iLast: - return utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iToken + if generic_clause.detect(oDataStructure): + generic_clause.classify(oDataStructure) + if generic_map_aspect.detect(oDataStructure): + oDataStructure.replace_next_token_required(";", token.semicolon) + return True + return False diff --git a/vsg/vhdlFile/classify/package_instantiation_declaration.py b/vsg/vhdlFile/classify/package_instantiation_declaration.py index 2b6fa9032..3bc61ad40 100644 --- a/vsg/vhdlFile/classify/package_instantiation_declaration.py +++ b/vsg/vhdlFile/classify/package_instantiation_declaration.py @@ -1,33 +1,33 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import package_instantiation_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import generic_map_aspect, identifier -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ package_instantiation_declaration ::= package identifier is new *uninstantiated_package*_name [ generic_map_aspect ] ; """ + if oDataStructure.are_next_consecutive_tokens(["package", None, "is", "new"]): + classify(oDataStructure) + return True + return False - if utils.are_next_consecutive_tokens(["package", None, "is", "new"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("package", token.package_keyword) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("package", token.package_keyword, iToken, lObjects) + identifier.classify(oDataStructure) - iCurrent = identifier.classify(iCurrent, lObjects) + oDataStructure.replace_next_token_required("is", token.is_keyword) + oDataStructure.replace_next_token_required("new", token.new_keyword) + oDataStructure.replace_next_token_with(token.uninstantiated_package_name) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("new", token.new_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.uninstantiated_package_name, iCurrent, lObjects) + generic_map_aspect.detect(oDataStructure) - iCurrent = generic_map_aspect.detect(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/parameter_specification.py b/vsg/vhdlFile/classify/parameter_specification.py index 45cfae8b4..bc2fac12e 100644 --- a/vsg/vhdlFile/classify/parameter_specification.py +++ b/vsg/vhdlFile/classify/parameter_specification.py @@ -1,19 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import parameter_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import discrete_range -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ parameter_specification ::= identifier in discrete_range """ - iCurrent = utils.assign_next_token(token.identifier, iToken, lObjects) - iCurrent = utils.assign_next_token_required("in", token.in_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required("in", token.in_keyword) - iCurrent = discrete_range.classify_until(lUntils, iCurrent, lObjects) - - return iCurrent + discrete_range.classify_until(lUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/physical_type_definition.py b/vsg/vhdlFile/classify/physical_type_definition.py index a381ea265..add13a621 100644 --- a/vsg/vhdlFile/classify/physical_type_definition.py +++ b/vsg/vhdlFile/classify/physical_type_definition.py @@ -1,7 +1,7 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import physical_type_definition as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( primary_unit_declaration, range_constraint, @@ -9,7 +9,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ physical_type_definition ::= range_constraint @@ -18,29 +19,23 @@ def detect(iToken, lObjects): { secondary_unit_declaration } **end** **units** [ physical_type_simple_name ] """ - if units_keyword_found_before_semicolon(iToken, lObjects): - return classify(iToken, lObjects) - return iToken - - -def classify(iToken, lObjects): - iCurrent = range_constraint.detect(iToken, lObjects) - - iCurrent = utils.assign_next_token_required("units", token.units_keyword, iToken, lObjects) + if oDataStructure.does_string_exist_before_string("units", ";"): + classify(oDataStructure) + return True + return False - iCurrent = primary_unit_declaration.detect(iCurrent, lObjects) - while not utils.is_next_token("end", iCurrent, lObjects): - iCurrent = secondary_unit_declaration.detect(iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + range_constraint.detect(oDataStructure) - iCurrent = utils.assign_next_token(token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("units", token.end_units_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.simple_name, iCurrent, lObjects) + oDataStructure.replace_next_token_required("units", token.units_keyword) - return iCurrent + primary_unit_declaration.detect(oDataStructure) + while not oDataStructure.is_next_token("end"): + secondary_unit_declaration.detect(oDataStructure) -def units_keyword_found_before_semicolon(iToken, lObjects): - if utils.find_in_range("units", iToken, ";", lObjects): - return True - return False + oDataStructure.replace_next_token_with(token.end_keyword) + oDataStructure.replace_next_token_required("units", token.end_units_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.simple_name) diff --git a/vsg/vhdlFile/classify/port_clause.py b/vsg/vhdlFile/classify/port_clause.py index 7cfbbe066..6d3030bee 100644 --- a/vsg/vhdlFile/classify/port_clause.py +++ b/vsg/vhdlFile/classify/port_clause.py @@ -1,28 +1,25 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import port_clause as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import port_list -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ port_clause ::= port ( port_list ) ; """ + return oDataStructure.are_next_consecutive_tokens(["port", "("]) - if utils.are_next_consecutive_tokens(["port", "("], iToken, lObjects): - return classify(iToken, lObjects) - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.port_keyword) + oDataStructure.replace_next_token_with(token.open_parenthesis) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("port", token.port_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) + port_list.classify(oDataStructure) - iCurrent = port_list.classify(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(")", token.close_parenthesis) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/port_list.py b/vsg/vhdlFile/classify/port_list.py index 277f7860b..5587062a7 100644 --- a/vsg/vhdlFile/classify/port_list.py +++ b/vsg/vhdlFile/classify/port_list.py @@ -1,12 +1,14 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import interface_list -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ port_list ::= *port*_interface_list """ - return interface_list.classify(iToken, lObjects) + interface_list.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/port_map_aspect.py b/vsg/vhdlFile/classify/port_map_aspect.py index f4e7f2aa8..0354235e6 100644 --- a/vsg/vhdlFile/classify/port_map_aspect.py +++ b/vsg/vhdlFile/classify/port_map_aspect.py @@ -1,28 +1,29 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import port_map_aspect as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import association_list -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ port_map_aspect ::= port map ( *port*_association_list ) """ - if utils.are_next_consecutive_tokens(["port", "map", "("], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens(["port", "map", "("]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("port", token.port_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("map", token.map_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.port_keyword) + oDataStructure.replace_next_token_with(token.map_keyword) + oDataStructure.replace_next_token_with(token.open_parenthesis) - iCurrent = association_list.classify(iCurrent, lObjects) + association_list.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/prefix.py b/vsg/vhdlFile/classify/prefix.py new file mode 100644 index 000000000..bdee16770 --- /dev/null +++ b/vsg/vhdlFile/classify/prefix.py @@ -0,0 +1,16 @@ +# -*- coding: utf-8 -*- + +from vsg import decorators, parser +from vsg.vhdlFile.classify import utils + + +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure, oToken): + oDataStructure.replace_next_token_with(oToken.name) + + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(parser.open_parenthesis) + + utils.assign_tokens_until_matching_closing_paren(parser.todo, oDataStructure) + + oDataStructure.replace_next_token_with(parser.close_parenthesis) diff --git a/vsg/vhdlFile/classify/primary_unit.py b/vsg/vhdlFile/classify/primary_unit.py index 3a6f173d8..2ef6f1aee 100644 --- a/vsg/vhdlFile/classify/primary_unit.py +++ b/vsg/vhdlFile/classify/primary_unit.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( configuration_declaration, context_declaration, @@ -10,7 +11,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ primary_unit ::= entity_declaration @@ -21,28 +23,19 @@ def detect(iToken, lObjects): | PSL_Verification_Unit """ - iReturned = context_declaration.detect(iToken, lObjects) - if iReturned != iToken: - return iReturned + if context_declaration.detect(oDataStructure): + return True - iReturned = entity_declaration.detect(iToken, lObjects) - if iReturned != iToken: - return iReturned + if entity_declaration.detect(oDataStructure): + return True - iReturned = package_declaration.detect(iToken, lObjects) - if iReturned != iToken: - return iReturned + if package_declaration.detect(oDataStructure): + return True - iReturned = package_instantiation_declaration.detect(iToken, lObjects) - if iReturned != iToken: - return iReturned + if package_instantiation_declaration.detect(oDataStructure): + return True - iReturned = configuration_declaration.detect(iToken, lObjects) - if iReturned != iToken: - return iReturned + if configuration_declaration.detect(oDataStructure): + return True - iReturned = psl_verification_unit.detect(iToken, lObjects) - if iReturned != iToken: - return iReturned - - return iToken + return psl_verification_unit.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/primary_unit_declaration.py b/vsg/vhdlFile/classify/primary_unit_declaration.py index 853fe5913..f51646d75 100644 --- a/vsg/vhdlFile/classify/primary_unit_declaration.py +++ b/vsg/vhdlFile/classify/primary_unit_declaration.py @@ -1,21 +1,19 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import primary_unit_declaration as token -from vsg.vhdlFile import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ primary_unit_declaration ::= identifier; """ - return classify(iToken, lObjects) + return classify(oDataStructure) -def classify(iToken, lObjects): - iCurrent = iToken - - while not utils.is_next_token(";", iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_token(lObjects, iCurrent, token.semicolon) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + while not oDataStructure.is_next_token(";"): + oDataStructure.replace_current_token_with(token.identifier) + oDataStructure.replace_current_token_with(token.semicolon) diff --git a/vsg/vhdlFile/classify/procedure_call.py b/vsg/vhdlFile/classify/procedure_call.py index dfc99a63a..7373151b8 100644 --- a/vsg/vhdlFile/classify/procedure_call.py +++ b/vsg/vhdlFile/classify/procedure_call.py @@ -1,13 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import procedure_call as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import actual_parameter_part -lExceptions = ["<=", "end", "map", "component", "entity", "configuration", "if"] +lExceptions = ["end", "map", "component", "entity", "configuration", "if"] -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +@decorators.push_pop_seek_index +def detect(oDataStructure): """ Calling functions: @@ -25,30 +27,29 @@ def detect(iToken, lObjects): Differentiating a procedure call from anything else is essentially the absence of keywords. """ - iCurrent = iToken - - while lObjects[iCurrent].get_value() != ";": - if utils.is_item(lObjects, iCurrent): - if lObjects[iCurrent].get_lower_value() in lExceptions: - return False - iCurrent += 1 + if oDataStructure.does_string_exist_before_string_honoring_parenthesis_hierarchy("<=", ";"): + return False + while not oDataStructure.seek_token_lower_value_is(";"): + if oDataStructure.get_seek_token_lower_value() in lExceptions: + return False + oDataStructure.increment_seek_index() + oDataStructure.advance_to_next_seek_token() return True -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ procedure_call ::= *procedure*_name [ ( actual_parameter_part ) ] """ - iCurrent = utils.assign_next_token(token.procedure_name, iToken, lObjects) - - if utils.is_next_token("(", iToken, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) + oDataStructure.replace_next_token_with(token.procedure_name) - iCurrent = actual_parameter_part.classify(iCurrent, lObjects) + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.open_parenthesis) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) + actual_parameter_part.classify(oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/procedure_call_statement.py b/vsg/vhdlFile/classify/procedure_call_statement.py index 531e1376c..db010116f 100644 --- a/vsg/vhdlFile/classify/procedure_call_statement.py +++ b/vsg/vhdlFile/classify/procedure_call_statement.py @@ -1,44 +1,29 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import procedure_call_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import procedure_call +from vsg.vhdlFile.classify import procedure_call, utils lKeywords = ["null", "return", "exit", "next", "while", "for", "loop", "case", "if", "report", "assert", "wait", "end", "with", "else", "elsif", "when"] -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ procedure_call_statement ::= [ label : ] procedure_call ; """ - iCurrent = iToken - # Move past label if it exists - if utils.find_in_next_n_tokens(":", 2, iCurrent, lObjects): - iCurrent = utils.find_next_token(iCurrent, lObjects) - iCurrent += 1 - iCurrent = utils.find_next_token(iCurrent, lObjects) - iCurrent += 1 - # Check if next token is keyword - iCurrent = utils.find_next_token(iCurrent, lObjects) - if lObjects[iCurrent].get_lower_value() in lKeywords: - return iToken - # Check if signal assignment operator exists - if not utils.all_assignments_inside_parenthesis(iToken, ";", lObjects): - return iToken - # Check if variable assignment operator exists - if utils.find_in_range(":=", iCurrent, ";", lObjects): - return iToken - # Otherwise it must be a procedure_call_statement - return classify(iToken, lObjects) - - -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label, token.label_colon) - - iCurrent = procedure_call.classify(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + if procedure_call.detect(oDataStructure): + classify(oDataStructure) + return True + return False + + +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + + procedure_call.classify(oDataStructure) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/procedure_specification.py b/vsg/vhdlFile/classify/procedure_specification.py index 86494a5d6..218ce5c2b 100644 --- a/vsg/vhdlFile/classify/procedure_specification.py +++ b/vsg/vhdlFile/classify/procedure_specification.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import procedure_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import formal_parameter_list, subprogram_header -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ procedure_specification ::= procedure designator @@ -13,22 +14,23 @@ def detect(iToken, lObjects): [ [ parameter ] ( formal_parameter_list ) ] """ - if utils.is_next_token("procedure", iToken, lObjects): - if not utils.find_in_next_n_tokens("new", 4, iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("procedure"): + if not oDataStructure.does_string_exist_in_next_n_tokens("new", 4): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("procedure", token.procedure_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token(token.designator, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.procedure_keyword) + oDataStructure.replace_next_token_with(token.designator) - iCurrent = subprogram_header.detect(iCurrent, lObjects) + subprogram_header.detect(oDataStructure) - iCurrent = utils.assign_next_token_if("parameter", token.parameter_keyword, iCurrent, lObjects) - if utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = formal_parameter_list.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("parameter", token.parameter_keyword) - return iCurrent + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.open_parenthesis) + formal_parameter_list.classify(oDataStructure) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/process_declarative_item.py b/vsg/vhdlFile/classify/process_declarative_item.py index 5fae49206..d50283ac0 100644 --- a/vsg/vhdlFile/classify/process_declarative_item.py +++ b/vsg/vhdlFile/classify/process_declarative_item.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( alias_declaration, attribute_declaration, @@ -19,7 +20,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ process_declarative_item ::= subprogram_declaration @@ -41,61 +43,44 @@ def detect(iToken, lObjects): | group_declaration """ - iReturn = subprogram_declaration.detect(iToken, lObjects) - if iReturn != iToken: - iReturn = subprogram_body.detect(iReturn, lObjects) - return iReturn + if subprogram_declaration.detect(oDataStructure): + subprogram_body.detect(oDataStructure) + return True - iReturn = subprogram_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subprogram_instantiation_declaration.detect(oDataStructure): + return True - iReturn = package_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_declaration.detect(oDataStructure): + return True - iReturn = package_body.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_body.detect(oDataStructure): + return True - iReturn = package_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_instantiation_declaration.detect(oDataStructure): + return True - iReturn = type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if type_declaration.detect(oDataStructure): + return True - iReturn = subtype_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subtype_declaration.detect(oDataStructure): + return True - iReturn = constant_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if constant_declaration.detect(oDataStructure): + return True - iReturn = variable_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if variable_declaration.detect(oDataStructure): + return True - iReturn = file_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if file_declaration.detect(oDataStructure): + return True - iReturn = alias_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if alias_declaration.detect(oDataStructure): + return True - iReturn = attribute_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_declaration.detect(oDataStructure): + return True - iReturn = attribute_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_specification.detect(oDataStructure): + return True - iReturn = use_clause.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return use_clause.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/process_declarative_part.py b/vsg/vhdlFile/classify/process_declarative_part.py index 46d14e945..c7fe7cc5e 100644 --- a/vsg/vhdlFile/classify/process_declarative_part.py +++ b/vsg/vhdlFile/classify/process_declarative_part.py @@ -1,18 +1,16 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import process_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ process_declarative_part ::= { process_declarative_item } """ - iLast = 0 - iCurrent = iToken - while iLast != iCurrent: - iLast = iCurrent - iCurrent = process_declarative_item.detect(iCurrent, lObjects) - return iCurrent + while process_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/process_sensitivity_list.py b/vsg/vhdlFile/classify/process_sensitivity_list.py index 9a085e0ce..43bb6a9ac 100644 --- a/vsg/vhdlFile/classify/process_sensitivity_list.py +++ b/vsg/vhdlFile/classify/process_sensitivity_list.py @@ -1,17 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import process_sensitivity_list as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import sensitivity_list -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ process_sensitivity_list ::= all | sensitivity_list """ - if utils.is_next_token("all", iToken, lObjects): - return utils.assign_next_token_required("all", token.all_keyword, iToken, lObjects) + if oDataStructure.is_next_token("all"): + oDataStructure.replace_next_token_with(token.all_keyword) else: - return sensitivity_list.classify(iToken, lObjects) + sensitivity_list.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/process_statement.py b/vsg/vhdlFile/classify/process_statement.py index 9ed3ad34d..e8dcd4fb3 100644 --- a/vsg/vhdlFile/classify/process_statement.py +++ b/vsg/vhdlFile/classify/process_statement.py @@ -1,15 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import process_statement as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( process_declarative_part, process_sensitivity_list, process_statement_part, + utils, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ process_statement ::= [ *process*_label : ] @@ -19,46 +21,44 @@ def detect(iToken, lObjects): process_statement_part end [ postponed ] process [ *process*_label ] ; """ - if utils.find_in_next_n_tokens("process", 4, iToken, lObjects): - if not utils.find_in_next_n_tokens(";", 3, iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.does_string_exist_in_next_n_tokens("process", 4): + if not oDataStructure.does_string_exist_in_next_n_tokens(";", 3): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = classify_opening_declaration(iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + classify_opening_declaration(oDataStructure) - iCurrent = process_declarative_part.detect(iCurrent, lObjects) + process_declarative_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("begin", token.begin_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("begin", token.begin_keyword) - iCurrent = process_statement_part.detect(iCurrent, lObjects) + process_statement_part.detect(oDataStructure) - iCurrent = classify_closing_declaration(iCurrent, lObjects) + classify_closing_declaration(oDataStructure) - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify_opening_declaration(oDataStructure): + utils.tokenize_label(oDataStructure, token.process_label, token.label_colon) + oDataStructure.replace_next_token_with_if("postponed", token.postponed_keyword) + oDataStructure.replace_next_token_required("process", token.process_keyword) -def classify_opening_declaration(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.process_label, token.label_colon) - iCurrent = utils.assign_next_token_if("postponed", token.postponed_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("process", token.process_keyword, iCurrent, lObjects) + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(token.open_parenthesis) + process_sensitivity_list.classify(oDataStructure) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) - if utils.is_next_token("(", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = process_sensitivity_list.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("is", token.is_keyword) - iCurrent = utils.assign_next_token_if("is", token.is_keyword, iCurrent, lObjects) - return iCurrent - - -def classify_closing_declaration(iToken, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("postponed", token.end_postponed_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("process", token.end_process_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.end_process_label, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify_closing_declaration(oDataStructure): + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_with_if("postponed", token.end_postponed_keyword) + oDataStructure.replace_next_token_required("process", token.end_process_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.end_process_label) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/process_statement_part.py b/vsg/vhdlFile/classify/process_statement_part.py index 56139888d..3daa55377 100644 --- a/vsg/vhdlFile/classify/process_statement_part.py +++ b/vsg/vhdlFile/classify/process_statement_part.py @@ -1,17 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import sequential_statement -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ process_statement_part ::= { sequential_statement } """ - iLast = 0 - iCurrent = iToken - while iLast != iCurrent: - iLast = iCurrent - iCurrent = sequential_statement.detect(iCurrent, lObjects) - return iCurrent + while sequential_statement.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/protected_type_body.py b/vsg/vhdlFile/classify/protected_type_body.py index c553ee37b..0d4a0581d 100644 --- a/vsg/vhdlFile/classify/protected_type_body.py +++ b/vsg/vhdlFile/classify/protected_type_body.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import protected_type_body as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import protected_type_body_declarative_part -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ protected_type_body ::= **protected** **body** @@ -13,20 +14,20 @@ def detect(iToken, lObjects): **end** **protected** **body** [ protected_type_simple_name ] """ - if utils.are_next_consecutive_tokens(["protected", "body"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens(["protected", "body"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("protected", token.protected_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("body", token.body_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.protected_keyword) + oDataStructure.replace_next_token_with(token.body_keyword) - iCurrent = protected_type_body_declarative_part.detect(iCurrent, lObjects) + protected_type_body_declarative_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("protected", token.end_protected_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("body", token.end_body_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.protected_type_simple_name, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("protected", token.end_protected_keyword) + oDataStructure.replace_next_token_required("body", token.end_body_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.protected_type_simple_name) diff --git a/vsg/vhdlFile/classify/protected_type_body_declarative_item.py b/vsg/vhdlFile/classify/protected_type_body_declarative_item.py index d19a20bd9..441727f65 100644 --- a/vsg/vhdlFile/classify/protected_type_body_declarative_item.py +++ b/vsg/vhdlFile/classify/protected_type_body_declarative_item.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( alias_declaration, attribute_declaration, @@ -19,7 +20,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ protected_type_body_declarative_item ::= subprogram_declaration @@ -41,61 +43,47 @@ def detect(iToken, lObjects): | group_declaration """ - iReturn = subprogram_declaration.detect(iToken, lObjects) - if iReturn != iToken: - iReturn = subprogram_body.detect(iReturn, lObjects) - return iReturn + if subprogram_declaration.detect(oDataStructure): + subprogram_body.detect(oDataStructure) + return True - iReturn = subprogram_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subprogram_instantiation_declaration.detect(oDataStructure): + return True - iReturn = package_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_declaration.detect(oDataStructure): + return True - iReturn = package_body.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_body.detect(oDataStructure): + return True - iReturn = package_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_instantiation_declaration.detect(oDataStructure): + return True - iReturn = type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if type_declaration.detect(oDataStructure): + return True - iReturn = subtype_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subtype_declaration.detect(oDataStructure): + return True - iReturn = constant_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if constant_declaration.detect(oDataStructure): + return True - iReturn = variable_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if variable_declaration.detect(oDataStructure): + return True - iReturn = file_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if file_declaration.detect(oDataStructure): + return True - iReturn = alias_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if alias_declaration.detect(oDataStructure): + return True - iReturn = attribute_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_declaration.detect(oDataStructure): + return True - iReturn = attribute_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_specification.detect(oDataStructure): + return True - iReturn = use_clause.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if use_clause.detect(oDataStructure): + return True - return iToken + return False diff --git a/vsg/vhdlFile/classify/protected_type_body_declarative_part.py b/vsg/vhdlFile/classify/protected_type_body_declarative_part.py index 04f8a45e9..ca879ad5a 100644 --- a/vsg/vhdlFile/classify/protected_type_body_declarative_part.py +++ b/vsg/vhdlFile/classify/protected_type_body_declarative_part.py @@ -1,13 +1,15 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import protected_type_body_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ protected_type_body_declarative_part ::= { protected_type_body_declarative_item } """ - return utils.detect_submodule(iToken, lObjects, protected_type_body_declarative_item) + while protected_type_body_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/protected_type_declaration.py b/vsg/vhdlFile/classify/protected_type_declaration.py index 5f0a360b9..f7a10e8fc 100644 --- a/vsg/vhdlFile/classify/protected_type_declaration.py +++ b/vsg/vhdlFile/classify/protected_type_declaration.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import protected_type_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import protected_type_declarative_part -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ protected_type_declaration ::= **protected** @@ -13,19 +14,19 @@ def detect(iToken, lObjects): **end** **protected** [ protected_type_simple_name ] """ - if utils.is_next_token("protected", iToken, lObjects): - if not utils.are_next_consecutive_tokens(["protected", "body"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("protected"): + if not oDataStructure.are_next_consecutive_tokens(["protected", "body"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("protected", token.protected_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("protected", token.protected_keyword) - iCurrent = protected_type_declarative_part.detect(iCurrent, lObjects) + protected_type_declarative_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("protected", token.end_protected_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.protected_type_simple_name, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("protected", token.end_protected_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.protected_type_simple_name) diff --git a/vsg/vhdlFile/classify/protected_type_declarative_item.py b/vsg/vhdlFile/classify/protected_type_declarative_item.py index 2f2a16196..b603d4166 100644 --- a/vsg/vhdlFile/classify/protected_type_declarative_item.py +++ b/vsg/vhdlFile/classify/protected_type_declarative_item.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( attribute_specification, subprogram_body, @@ -9,7 +10,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ protected_type_declarative_item ::= subprogram_declaration @@ -18,21 +20,17 @@ def detect(iToken, lObjects): | use_clause """ - iReturn = subprogram_declaration.detect(iToken, lObjects) - if iReturn != iToken: - iReturn = subprogram_body.detect(iReturn, lObjects) - return iReturn + if subprogram_declaration.detect(oDataStructure): + subprogram_body.detect(oDataStructure) + return True - iReturn = subprogram_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subprogram_instantiation_declaration.detect(oDataStructure): + return True - iReturn = attribute_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_specification.detect(oDataStructure): + return True - iReturn = use_clause.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if use_clause.detect(oDataStructure): + return True - return iToken + return False diff --git a/vsg/vhdlFile/classify/protected_type_declarative_part.py b/vsg/vhdlFile/classify/protected_type_declarative_part.py index c90a8d6bf..719a5fb7a 100644 --- a/vsg/vhdlFile/classify/protected_type_declarative_part.py +++ b/vsg/vhdlFile/classify/protected_type_declarative_part.py @@ -1,13 +1,15 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import protected_type_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ protected_type_declarative_part ::= { protected_type_declarative_item } """ - return utils.detect_submodule(iToken, lObjects, protected_type_declarative_item) + while protected_type_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/protected_type_definition.py b/vsg/vhdlFile/classify/protected_type_definition.py index 06d0fe7f5..2d6a50994 100644 --- a/vsg/vhdlFile/classify/protected_type_definition.py +++ b/vsg/vhdlFile/classify/protected_type_definition.py @@ -1,21 +1,21 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import protected_type_body, protected_type_declaration -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ protected_type_definition ::= protected_type_declaration | protected_type_body """ - iReturn = protected_type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if protected_type_declaration.detect(oDataStructure): + return True - iReturn = protected_type_body.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if protected_type_body.detect(oDataStructure): + return True - return iToken + return False diff --git a/vsg/vhdlFile/classify/psl_assert_directive.py b/vsg/vhdlFile/classify/psl_assert_directive.py index 990530caa..15e765fad 100644 --- a/vsg/vhdlFile/classify/psl_assert_directive.py +++ b/vsg/vhdlFile/classify/psl_assert_directive.py @@ -1,10 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import assert_directive as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ [ label : ] Assert_Directive @@ -12,16 +14,16 @@ def detect(iToken, lObjects): assert Property [ report String ] ; """ - if utils.are_next_consecutive_tokens([None, ":", "assert"], iToken, lObjects) or utils.is_next_token("assert", iToken, lObjects): - if utils.find_in_range("[", iToken, ";", lObjects) and utils.find_in_range("report", iToken, ";", lObjects): + if oDataStructure.are_next_consecutive_tokens([None, ":", "assert"]) or oDataStructure.is_next_token("assert"): + if oDataStructure.does_string_exist_before_string("[", ";") and oDataStructure.does_string_exist_before_string("report", ";"): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("assert", token.assert_keyword, iToken, lObjects) - iCurrent = utils.assign_tokens_until(";", token.todo, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("assert", token.assert_keyword) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + utils.assign_tokens_until(";", token.todo, oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_assume_directive.py b/vsg/vhdlFile/classify/psl_assume_directive.py index 4c3b88c26..2b0e50799 100644 --- a/vsg/vhdlFile/classify/psl_assume_directive.py +++ b/vsg/vhdlFile/classify/psl_assume_directive.py @@ -1,10 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import assume_directive as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ [ label : ] Assume_Directive @@ -12,15 +14,14 @@ def detect(iToken, lObjects): assume Property ; """ - if utils.are_next_consecutive_tokens([None, ":", "assume"], iToken, lObjects) or utils.is_next_token("assume", iToken, lObjects): + if oDataStructure.are_next_consecutive_tokens([None, ":", "assume"]) or oDataStructure.is_next_token("assume"): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("assume", token.assume_keyword, iToken, lObjects) - iCurrent = utils.assign_tokens_until(";", token.todo, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("assume", token.assume_keyword) + utils.assign_tokens_until(";", token.todo, oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_clock_declaration.py b/vsg/vhdlFile/classify/psl_clock_declaration.py index 715aa4ef8..dbcdcf02b 100644 --- a/vsg/vhdlFile/classify/psl_clock_declaration.py +++ b/vsg/vhdlFile/classify/psl_clock_declaration.py @@ -1,25 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import clock_declaration as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import utils as classify_utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ psl_clock_declaration ::= default clock DEF_SYM Clock_Expression ; """ - if utils.are_next_consecutive_tokens(["default", "clock"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.are_next_consecutive_tokens(["default", "clock"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("default", token.default_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("clock", token.clock_keyword, iToken, lObjects) - while not utils.is_next_token(";", iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.todo, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("default", token.default_keyword) + oDataStructure.replace_next_token_required("clock", token.clock_keyword) + while not oDataStructure.is_next_token(";"): + oDataStructure.replace_next_token_with(token.todo) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_cover_directive.py b/vsg/vhdlFile/classify/psl_cover_directive.py index 6982e1b2e..00d8dc0bb 100644 --- a/vsg/vhdlFile/classify/psl_cover_directive.py +++ b/vsg/vhdlFile/classify/psl_cover_directive.py @@ -1,10 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import cover_directive as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ [ label : ] Cover_Directive @@ -12,15 +14,14 @@ def detect(iToken, lObjects): cover Sequence [ report String ] ; """ - if utils.are_next_consecutive_tokens([None, ":", "cover"], iToken, lObjects) or utils.is_next_token("cover", iToken, lObjects): + if oDataStructure.are_next_consecutive_tokens([None, ":", "cover"]) or oDataStructure.is_next_token("cover"): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("cover", token.cover_keyword, iToken, lObjects) - iCurrent = utils.assign_tokens_until(";", token.todo, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("cover", token.cover_keyword) + utils.assign_tokens_until(";", token.todo, oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_fairness_statement.py b/vsg/vhdlFile/classify/psl_fairness_statement.py index 28c623e72..fd1a88757 100644 --- a/vsg/vhdlFile/classify/psl_fairness_statement.py +++ b/vsg/vhdlFile/classify/psl_fairness_statement.py @@ -1,10 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import fairness_statement as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ [ label : ] Fairness_Statement @@ -14,21 +16,20 @@ def detect(iToken, lObjects): """ if ( - utils.are_next_consecutive_tokens([None, ":", "fairness"], iToken, lObjects) - or utils.is_next_token("fairness", iToken, lObjects) - or utils.are_next_consecutive_tokens([None, ":", "strong", "fairness"], iToken, lObjects) - or utils.are_next_consecutive_tokens(["strong", "fairness"], iToken, lObjects) + oDataStructure.are_next_consecutive_tokens([None, ":", "fairness"]) + or oDataStructure.is_next_token("fairness") + or oDataStructure.are_next_consecutive_tokens([None, ":", "strong", "fairness"]) + or oDataStructure.are_next_consecutive_tokens(["strong", "fairness"]) ): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("strong", token.strong_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("fairness", token.fairness_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("strong", token.strong_keyword) + oDataStructure.replace_next_token_required("fairness", token.fairness_keyword) - iCurrent = utils.assign_tokens_until(";", token.todo, iCurrent, lObjects) + utils.assign_tokens_until(";", token.todo, oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_property_declaration.py b/vsg/vhdlFile/classify/psl_property_declaration.py index 523077661..fb4b993e7 100644 --- a/vsg/vhdlFile/classify/psl_property_declaration.py +++ b/vsg/vhdlFile/classify/psl_property_declaration.py @@ -1,24 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import property_declaration as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import utils as classify_utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ psl_clock_declaration ::= property PSL_Identifier [ { Formal_Parameter_List ) ] DEF_SYM Property ; """ - if utils.is_next_token("property", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("property"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("property", token.property_keyword, iToken, lObjects) - while not utils.is_next_token(";", iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.todo, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("property", token.property_keyword) + while not oDataStructure.is_next_token(";"): + oDataStructure.replace_next_token_with(token.todo) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_psl_directive.py b/vsg/vhdlFile/classify/psl_psl_directive.py index 75ec4c726..bd4d02041 100644 --- a/vsg/vhdlFile/classify/psl_psl_directive.py +++ b/vsg/vhdlFile/classify/psl_psl_directive.py @@ -1,7 +1,7 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import psl_directive as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( psl_assert_directive, psl_assume_directive, @@ -9,10 +9,12 @@ psl_fairness_statement, psl_restrict_directive, psl_restrict_n_directive, + utils, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ PSL_Directive ::= [ label : ] Verification_Directive @@ -26,29 +28,34 @@ def detect(iToken, lObjects): | Fairness_Statement """ - iCurrent = iToken - if psl_assert_directive.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = psl_assert_directive.classify(iCurrent, lObjects) - - elif psl_assume_directive.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = psl_assume_directive.classify(iCurrent, lObjects) - - elif psl_restrict_directive.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = psl_restrict_directive.classify(iCurrent, lObjects) - - elif psl_restrict_n_directive.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = psl_restrict_n_directive.classify(iCurrent, lObjects) - - elif psl_cover_directive.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = psl_cover_directive.classify(iCurrent, lObjects) - - elif psl_fairness_statement.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label_name, token.label_colon) - iCurrent = psl_fairness_statement.classify(iCurrent, lObjects) - - return iCurrent + if psl_assert_directive.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + psl_assert_directive.classify(oDataStructure) + return True + + if psl_assume_directive.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + psl_assume_directive.classify(oDataStructure) + return True + + if psl_restrict_directive.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + psl_restrict_directive.classify(oDataStructure) + return True + + if psl_restrict_n_directive.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + psl_restrict_n_directive.classify(oDataStructure) + return True + + if psl_cover_directive.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + psl_cover_directive.classify(oDataStructure) + return True + + if psl_fairness_statement.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label_name, token.label_colon) + psl_fairness_statement.classify(oDataStructure) + return True + + return False diff --git a/vsg/vhdlFile/classify/psl_restrict_directive.py b/vsg/vhdlFile/classify/psl_restrict_directive.py index ede7fe6cf..0469dc0e8 100644 --- a/vsg/vhdlFile/classify/psl_restrict_directive.py +++ b/vsg/vhdlFile/classify/psl_restrict_directive.py @@ -1,10 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import restrict_directive as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ [ label : ] Restrict_Directive @@ -12,15 +14,14 @@ def detect(iToken, lObjects): restrict Sequence ; """ - if utils.are_next_consecutive_tokens([None, ":", "restrict"], iToken, lObjects) or utils.is_next_token("restrict", iToken, lObjects): + if oDataStructure.are_next_consecutive_tokens([None, ":", "restrict"]) or oDataStructure.is_next_token("restrict"): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("restrict", token.restrict_keyword, iToken, lObjects) - iCurrent = utils.assign_tokens_until(";", token.todo, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("restrict", token.restrict_keyword) + utils.assign_tokens_until(";", token.todo, oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_restrict_n_directive.py b/vsg/vhdlFile/classify/psl_restrict_n_directive.py index dbad779dd..62bb60e0b 100644 --- a/vsg/vhdlFile/classify/psl_restrict_n_directive.py +++ b/vsg/vhdlFile/classify/psl_restrict_n_directive.py @@ -1,10 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import restrict_n_directive as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ [ label : ] Restrict!_Directive @@ -12,15 +14,15 @@ def detect(iToken, lObjects): restrict! Sequence ; """ - if utils.are_next_consecutive_tokens([None, ":", "restrict!"], iToken, lObjects) or utils.is_next_token("restrict!", iToken, lObjects): + if oDataStructure.are_next_consecutive_tokens([None, ":", "restrict!"]) or oDataStructure.is_next_token("restrict!"): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("restrict!", token.restrict_n_keyword, iToken, lObjects) - iCurrent = utils.assign_tokens_until(";", token.todo, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("restrict!", token.restrict_n_keyword) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + utils.assign_tokens_until(";", token.todo, oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_sequence_declaration.py b/vsg/vhdlFile/classify/psl_sequence_declaration.py index 3ff973be0..7f2ffe9eb 100644 --- a/vsg/vhdlFile/classify/psl_sequence_declaration.py +++ b/vsg/vhdlFile/classify/psl_sequence_declaration.py @@ -1,24 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import sequence_declaration as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import utils as classify_utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ psl_sequence_declaration ::= sequence PSL_Identifier [ ( Formal_Parameter_List ) ] DEF_SYM Sequence ; """ - if utils.is_next_token("sequence", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("sequence"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("sequence", token.sequence_keyword, iToken, lObjects) - while not utils.is_next_token(";", iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.todo, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("sequence", token.sequence_keyword) + while not oDataStructure.is_next_token(";"): + oDataStructure.replace_next_token_with(token.todo) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/psl_verification_unit.py b/vsg/vhdlFile/classify/psl_verification_unit.py index f99b6c683..7778c65db 100644 --- a/vsg/vhdlFile/classify/psl_verification_unit.py +++ b/vsg/vhdlFile/classify/psl_verification_unit.py @@ -1,10 +1,11 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token.psl import verification_unit as token -from vsg.vhdlFile import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ psl_verification_unit ::= Vunit_Type PSL_Identifier [ ( Context_Spec ) ] **{** @@ -17,25 +18,25 @@ def detect(iToken, lObjects): vunit | vpkg | vprop | vmode """ - if utils.is_next_token_one_of(["vunit", "vpkg", "vprop", "vmode"], iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token_one_of(["vunit", "vpkg", "vprop", "vmode"]): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("vunit", token.vunit_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("vpkg", token.vpkg_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("vprop", token.vprop_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("vmode", token.vmode_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("vunit", token.vunit_keyword) + oDataStructure.replace_next_token_with_if("vpkg", token.vpkg_keyword) + oDataStructure.replace_next_token_with_if("vprop", token.vprop_keyword) + oDataStructure.replace_next_token_with_if("vmode", token.vmode_keyword) - while not utils.is_next_token("{", iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.todo, iCurrent, lObjects) + while not oDataStructure.is_next_token("{"): + oDataStructure.replace_next_token_with(token.todo) - iCurrent = utils.assign_next_token_required("{", token.open_curly, iCurrent, lObjects) + oDataStructure.replace_next_token_required("{", token.open_curly) - while not utils.is_next_token("}", iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.todo, iCurrent, lObjects) + while not oDataStructure.is_next_token("}"): + oDataStructure.replace_next_token_with(token.todo) - iCurrent = utils.assign_next_token_required("}", token.close_curly, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("}", token.close_curly) diff --git a/vsg/vhdlFile/classify/range.py b/vsg/vhdlFile/classify/range.py index 19f113558..c6d80a383 100644 --- a/vsg/vhdlFile/classify/range.py +++ b/vsg/vhdlFile/classify/range.py @@ -1,68 +1,30 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators +from vsg.vhdlFile.classify import attribute_name -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ range ::= - range_attribute_name + *range*_attribute_name | simple_expression direction simple_expression """ - if check_for_range_attribute_name(iToken, lObjects): - return True - return detect_direction(iToken, lObjects) - -def check_for_range_attribute_name(iToken, lObjects): - if single_token_enclosed_in_parenthesis(iToken, lObjects): + if oDataStructure.are_next_consecutive_tokens([None, ")"]): return True - iParens = 0 - for iIndex in range(iToken, len(lObjects)): - iParens = utils.update_paren_counter(iIndex, lObjects, iParens) - - if token_is_matching_close_parenthesis(iParens): - return False - if token_is_tic(iParens, iIndex, lObjects): - return True - - return False - - -def single_token_enclosed_in_parenthesis(iToken, lObjects): - return utils.are_next_consecutive_tokens([None, ")"], iToken, lObjects) - - -def token_is_matching_close_parenthesis(iParens): - if iParens == -1: + if attribute_name.detect(oDataStructure): return True - return False + return detect_direction(oDataStructure) -def check_for_todo_token(iIndex, lObjects): - if utils.token_is_whitespace_or_comment(lObjects[iIndex]): - return False - return True - -def token_is_tic(iParens, iIndex, lObjects): - if iParens == 0 and utils.object_value_is(lObjects, iIndex, "'"): +@decorators.print_classifier_debug_info(__name__) +def detect_direction(oDataStructure): + if oDataStructure.does_string_exist_before_matching_close_parenthesis("downto", 0): return True - - -def detect_direction(iToken, lObjects): - iParens = 0 - for iIndex in range(iToken, len(lObjects)): - iParens = utils.update_paren_counter(iIndex, lObjects, iParens) - if iParens == -1: - return False - if check_for_direction(iParens, iIndex, lObjects): - return True - return False - - -def check_for_direction(iParens, iIndex, lObjects): - if iParens == 0 and utils.is_next_token_one_of(["downto", "to"], iIndex, lObjects): + if oDataStructure.does_string_exist_before_matching_close_parenthesis("to", 0): return True return False diff --git a/vsg/vhdlFile/classify/range_constraint.py b/vsg/vhdlFile/classify/range_constraint.py index c47a68666..b5e863515 100644 --- a/vsg/vhdlFile/classify/range_constraint.py +++ b/vsg/vhdlFile/classify/range_constraint.py @@ -1,30 +1,32 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators, parser from vsg.token import range_constraint as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +@decorators.push_pop_seek_index +def detect(oDataStructure): """ range_constraint ::= **range** range """ - if utils.is_next_token("range", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("range"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("range", token.range_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.range_keyword) + # TODO: Refactor the following into the data structure iParenCnt = 0 - while not utils.is_next_token_one_of([";", "units", ":="], iCurrent, lObjects): - iCurrent = utils.find_next_token(iCurrent, lObjects) - iParenCnt = utils.update_paren_counter(iCurrent, lObjects, iParenCnt) + while not oDataStructure.is_next_token_one_of([";", "units", ":="]): + iParenCnt = utils.update_paren_counter(iParenCnt, oDataStructure) if iParenCnt == -1: break - iCurrent = utils.assign_next_token(parser.todo, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_current_token_with(parser.todo) diff --git a/vsg/vhdlFile/classify/record_constraint.py b/vsg/vhdlFile/classify/record_constraint.py index 88b9320ac..b757c57ab 100644 --- a/vsg/vhdlFile/classify/record_constraint.py +++ b/vsg/vhdlFile/classify/record_constraint.py @@ -1,28 +1,31 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import record_constraint as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import record_element_constraint -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +@decorators.push_pop_seek_index +def detect(oDataStructure): """ record_constraint ::= ( record_element_constraint { , record_element_constraint } ) """ - if utils.is_next_token("(", iToken, lObjects): - iTemp = utils.find_next_token(iToken, lObjects) + 1 - if record_element_constraint.detect(iTemp, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_seek_token("("): + oDataStructure.increment_seek_index() + if record_element_constraint.detect(oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iToken, lObjects) - while not utils.is_next_token(")", iCurrent, lObjects): - iCurrent = record_element_constraint.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_if(",", token.comma, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("(", token.open_parenthesis) - return iCurrent + while not oDataStructure.is_next_token(")"): + record_element_constraint.classify(oDataStructure) + oDataStructure.replace_next_token_with_if(",", token.comma) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) diff --git a/vsg/vhdlFile/classify/record_element_constraint.py b/vsg/vhdlFile/classify/record_element_constraint.py index 1d8e8accc..eed942ff9 100644 --- a/vsg/vhdlFile/classify/record_element_constraint.py +++ b/vsg/vhdlFile/classify/record_element_constraint.py @@ -1,24 +1,25 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import record_element_constraint as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import element_constraint -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ record_element_constraint ::= record_element_simple_name element_constraint """ - if not utils.is_next_token("(", iToken, lObjects): - iTemp = utils.find_next_token(iToken, lObjects) + 1 - if utils.is_next_token("(", iTemp, lObjects): + + if not oDataStructure.is_next_seek_token("("): + oDataStructure.increment_seek_index() + if oDataStructure.is_next_seek_token("("): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token(token.record_element_simple_name, iToken, lObjects) - iCurrent = element_constraint.detect(iCurrent, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.record_element_simple_name) + element_constraint.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/record_type_definition.py b/vsg/vhdlFile/classify/record_type_definition.py index 62c2f3803..1b6e8c833 100644 --- a/vsg/vhdlFile/classify/record_type_definition.py +++ b/vsg/vhdlFile/classify/record_type_definition.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import record_type_definition as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import element_declaration -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ record_type_definition ::= record @@ -14,19 +15,21 @@ def detect(iToken, lObjects): end record [ *record_type*_simple_name ] """ - if utils.is_next_token("record", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_token("record"): + classify(oDataStructure) + return True - return iToken + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("record", token.record_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.record_keyword) - iCurrent = utils.classify_subelement_until("end", element_declaration, iCurrent, lObjects) + # TODO: This while loop could be an issue if end is never found. + while not oDataStructure.is_next_token("end"): + element_declaration.classify(oDataStructure) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("record", token.end_record_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_if_not(";", token.record_type_simple_name, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required("end", token.end_keyword) + oDataStructure.replace_next_token_required("record", token.end_record_keyword) + oDataStructure.replace_next_token_with_if_not(";", token.record_type_simple_name) diff --git a/vsg/vhdlFile/classify/report_statement.py b/vsg/vhdlFile/classify/report_statement.py index 3d657434c..03416c4f0 100644 --- a/vsg/vhdlFile/classify/report_statement.py +++ b/vsg/vhdlFile/classify/report_statement.py @@ -1,11 +1,12 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import report_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import expression +from vsg.vhdlFile.classify import expression, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ report_statement ::= [ label : ] @@ -13,21 +14,21 @@ def detect(iToken, lObjects): [ severity expression ] ; """ - if utils.keyword_found("report", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if utils.keyword_found("report", oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label, token.label_colon) - iCurrent = utils.assign_next_token_required("report", token.report_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + oDataStructure.replace_next_token_with(token.report_keyword) - iCurrent = expression.classify_until([";", "severity"], iCurrent, lObjects) + expression.classify_until([";", "severity"], oDataStructure) - if utils.is_next_token("severity", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("severity", token.severity_keyword, iCurrent, lObjects) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) + if oDataStructure.is_next_token("severity"): + oDataStructure.replace_next_token_with(token.severity_keyword) + expression.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/resolution_indication.py b/vsg/vhdlFile/classify/resolution_indication.py index e27b32425..068a4f984 100644 --- a/vsg/vhdlFile/classify/resolution_indication.py +++ b/vsg/vhdlFile/classify/resolution_indication.py @@ -1,51 +1,58 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators from vsg.token import resolution_indication as token -from vsg.vhdlFile import utils +from vsg.vhdlFile.classify import element_resolution, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +@decorators.push_pop_seek_index +def detect(oDataStructure): """ resolution_indication ::= resolution_function_name | ( element_resolution ) """ - if detect_element_resolution(iToken, lObjects): - return classify_element_resolution(iToken, lObjects) - elif detect_resolution_function_name(iToken, lObjects): - return classify_resolution_function_name(iToken, lObjects) - return iToken + + if detect_element_resolution(oDataStructure): + classify_element_resolution(oDataStructure) + return True + elif detect_resolution_function_name(oDataStructure): + classify_resolution_function_name(oDataStructure) + return True + return False -def classify_element_resolution(iToken, lObjects): - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iToken, lObjects) - iCurrent = utils.assign_tokens_until_matching_closing_paren(parser.todo, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify_element_resolution(oDataStructure): + oDataStructure.replace_next_token_required("(", token.open_parenthesis) - return iCurrent + element_resolution.classify(oDataStructure) + # utils.assign_tokens_until_matching_closing_paren(parser.todo, oDataStructure) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) -def classify_resolution_function_name(iToken, lObjects): - return utils.assign_next_token(token.resolution_function_name, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify_resolution_function_name(oDataStructure): + oDataStructure.replace_next_token_with(token.resolution_function_name) -def detect_element_resolution(iToken, lObjects): - if utils.is_next_token("(", iToken, lObjects): + +@decorators.print_classifier_debug_info(__name__) +def detect_element_resolution(oDataStructure): + if oDataStructure.is_next_token("("): return True return False -def detect_resolution_function_name(iToken, lObjects): - if detect_escape_value(iToken, lObjects): - return False - return True +@decorators.print_classifier_debug_info(__name__) +def detect_resolution_function_name(oDataStructure): + return not detect_escape_value(oDataStructure) lEscapeValues = ["(", ")", ";", ":=", "range", "bus", "is", "open", "'", ">>"] -def detect_escape_value(iToken, lObjects): - iTemp = utils.find_next_token(iToken, lObjects) + 1 - if utils.is_next_token_one_of(lEscapeValues, iTemp, lObjects): - return True - return False +@decorators.print_classifier_debug_info(__name__) +def detect_escape_value(oDataStructure): + oDataStructure.increment_seek_index() + return oDataStructure.is_next_seek_token_one_of(lEscapeValues) diff --git a/vsg/vhdlFile/classify/return_statement.py b/vsg/vhdlFile/classify/return_statement.py index 7782025c2..7681d6fe1 100644 --- a/vsg/vhdlFile/classify/return_statement.py +++ b/vsg/vhdlFile/classify/return_statement.py @@ -1,29 +1,30 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import return_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import expression +from vsg.vhdlFile.classify import expression, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ return_statement ::= [ label : ] return [ expression ] ; """ - if utils.find_in_next_n_tokens(":", 2, iToken, lObjects): - if utils.find_in_next_n_tokens("return", 3, iToken, lObjects): - return classify(iToken, lObjects) - if utils.is_next_token("return", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if utils.keyword_found("return", oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label, token.label_colon) - iCurrent = utils.assign_next_token_required("return", token.return_keyword, iCurrent, lObjects) - if not utils.is_next_token(";", iCurrent, lObjects): - iCurrent = expression.classify_until([";"], iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) - return iCurrent + oDataStructure.replace_next_token_required("return", token.return_keyword) + + if not oDataStructure.is_next_token(";"): + expression.classify_until([";"], oDataStructure) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/scalar_type_definition.py b/vsg/vhdlFile/classify/scalar_type_definition.py index 74c973096..551945654 100644 --- a/vsg/vhdlFile/classify/scalar_type_definition.py +++ b/vsg/vhdlFile/classify/scalar_type_definition.py @@ -1,6 +1,6 @@ # -*- coding: utf-8 -*- - +from vsg import decorators from vsg.vhdlFile.classify import ( enumeration_type_definition, integer_type_definition, @@ -8,7 +8,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ scalar_type_definition ::= enumeration_type_definition @@ -20,16 +21,10 @@ def detect(iToken, lObjects): They are very similar to integer types, and will hopefully not be required. """ - iReturn = physical_type_definition.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - iReturn = enumeration_type_definition.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if physical_type_definition.detect(oDataStructure): + return True - iReturn = integer_type_definition.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if enumeration_type_definition.detect(oDataStructure): + return True - return iToken + return integer_type_definition.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/secondary_unit.py b/vsg/vhdlFile/classify/secondary_unit.py index 557fe9b35..a318ce4bd 100644 --- a/vsg/vhdlFile/classify/secondary_unit.py +++ b/vsg/vhdlFile/classify/secondary_unit.py @@ -1,20 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import architecture_body, package_body -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ secondary_unit ::= architecture_body | package_body """ - iReturned = architecture_body.detect(iToken, lObjects) - if iReturned != iToken: - return iReturned + if architecture_body.detect(oDataStructure): + return True - iReturned = package_body.detect(iToken, lObjects) - if iReturned != iToken: - return iReturned - - return iToken + return package_body.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/secondary_unit_declaration.py b/vsg/vhdlFile/classify/secondary_unit_declaration.py index 740676ac6..26e5de4ea 100644 --- a/vsg/vhdlFile/classify/secondary_unit_declaration.py +++ b/vsg/vhdlFile/classify/secondary_unit_declaration.py @@ -1,25 +1,25 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import secondary_unit_declaration as token -from vsg.vhdlFile import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ secondary_unit_declaration ::= identifier = physical_literal; """ - if utils.find_in_range("=", iToken, ";", lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.does_string_exist_before_string("=", ";"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = iToken - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.equal_sign, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_with(token.equal_sign) - while not utils.is_next_token(";", iCurrent, lObjects): - iCurrent = utils.assign_next_token(token.physical_literal, iCurrent, lObjects) - iCurrent = utils.assign_token(lObjects, iCurrent, token.semicolon) - - return iCurrent + while not oDataStructure.is_next_token(";"): + oDataStructure.replace_current_token_with(token.physical_literal) + oDataStructure.replace_current_token_with(token.semicolon) diff --git a/vsg/vhdlFile/classify/selected_expressions.py b/vsg/vhdlFile/classify/selected_expressions.py index 2cfce369c..2caaf1bc1 100644 --- a/vsg/vhdlFile/classify/selected_expressions.py +++ b/vsg/vhdlFile/classify/selected_expressions.py @@ -1,34 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import selected_expressions as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import choices, expression -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ selected_expressions ::= { expression when choices , } expression when choices """ - iCurrent = iToken lMyUntils = lUntils lMyUntils.append(",") - iCurrent = expression.classify_until(["when"], iCurrent, lObjects) + expression.classify_until(["when"], oDataStructure) - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("when", token.when_keyword) - iCurrent = choices.classify_until(lMyUntils, iCurrent, lObjects) + choices.classify_until(lMyUntils, oDataStructure) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) + while oDataStructure.is_next_token(","): + oDataStructure.replace_next_token_required(",", token.comma) - iCurrent = expression.classify_until(["when"], iCurrent, lObjects) + expression.classify_until(["when"], oDataStructure) - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("when", token.when_keyword) - iCurrent = choices.classify_until(lMyUntils, iCurrent, lObjects) - - return iCurrent + choices.classify_until(lMyUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/selected_force_assignment.py b/vsg/vhdlFile/classify/selected_force_assignment.py index b5f941b61..d86aab780 100644 --- a/vsg/vhdlFile/classify/selected_force_assignment.py +++ b/vsg/vhdlFile/classify/selected_force_assignment.py @@ -1,38 +1,43 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import selected_force_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import expression, force_mode, selected_expressions +from vsg.vhdlFile.classify import expression, force_mode, selected_expressions, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ selected_force_assignment ::= [§ 10.5.4] with expression select [ ? ] target <= force [ force_mode ] selected_expressions ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): return False - if utils.find_in_range("<=", iToken, ";", lObjects): - if utils.find_in_range("force", iToken, ";", lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.does_string_exist_before_string("<=", ";"): + if oDataStructure.does_string_exist_before_string("force", ";"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("with", token.with_keyword, iToken, lObjects) - iCurrent = expression.classify_until(["select"], iToken, lObjects) - iCurrent = utils.assign_next_token_required("select", token.select_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("?", token.question_mark, iCurrent, lObjects) - iCurrent = utils.assign_tokens_until("<=", token.target, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("force", token.force_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("with", token.with_keyword) - iCurrent = force_mode.detect(iCurrent, lObjects) + expression.classify_until(["select"], oDataStructure) - iCurrent = selected_expressions.classify_until([";"], iToken, lObjects) + oDataStructure.replace_next_token_required("select", token.select_keyword) + oDataStructure.replace_next_token_with_if("?", token.question_mark) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + utils.assign_tokens_until("<=", token.target, oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required("<=", token.assignment) + oDataStructure.replace_next_token_required("force", token.force_keyword) + + force_mode.detect(oDataStructure) + + selected_expressions.classify_until([";"], oDataStructure) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/selected_signal_assignment.py b/vsg/vhdlFile/classify/selected_signal_assignment.py index 0951ed671..d0b644022 100644 --- a/vsg/vhdlFile/classify/selected_signal_assignment.py +++ b/vsg/vhdlFile/classify/selected_signal_assignment.py @@ -1,32 +1,31 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import ( selected_force_assignment, selected_waveform_assignment, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ selected_signal_assignment ::= selected_waveform_assignment | selected_force_assignment """ - if utils.find_in_range("<=", iToken, ";", lObjects): - if utils.find_in_next_n_tokens("with", 3, iToken, lObjects): + if oDataStructure.does_string_exist_before_string("<=", ";"): + if oDataStructure.does_string_exist_in_next_n_tokens("with", 3): return True - if utils.find_in_next_n_tokens("if", 3, iToken, lObjects): + if oDataStructure.does_string_exist_in_next_n_tokens("if", 3): return True return False -def classify(iToken, lObjects): - iCurrent = selected_waveform_assignment.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if selected_waveform_assignment.detect(oDataStructure): + return None - iCurrent = selected_force_assignment.detect(iToken, lObjects) - - return iCurrent + selected_force_assignment.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/selected_variable_assignment.py b/vsg/vhdlFile/classify/selected_variable_assignment.py index 4784d8c46..6ed250576 100644 --- a/vsg/vhdlFile/classify/selected_variable_assignment.py +++ b/vsg/vhdlFile/classify/selected_variable_assignment.py @@ -1,38 +1,40 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import selected_variable_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import expression, selected_expressions +from vsg.vhdlFile.classify import expression, selected_expressions, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ selected_variable_assignment ::= with expression select [ ? ] target := selected_expressions ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): return False - if utils.find_in_range(":=", iToken, ";", lObjects): - if utils.find_in_range("with", iToken, ";", lObjects): + if oDataStructure.does_string_exist_before_string(":=", ";"): + if oDataStructure.does_string_exist_before_string("with", ";"): return True - return False return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("with", token.with_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("with", token.with_keyword) + + expression.classify_until(["select"], oDataStructure) + + oDataStructure.replace_next_token_required("select", token.select_keyword) - iCurrent = expression.classify_until(["select"], iToken, lObjects) + oDataStructure.replace_next_token_with_if("?", token.question_mark) - iCurrent = utils.assign_next_token_required("select", token.select_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("?", token.question_mark, iCurrent, lObjects) - iCurrent = utils.assign_tokens_until(":=", token.target, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(":=", token.assignment, iCurrent, lObjects) + utils.assign_tokens_until(":=", token.target, oDataStructure) - iCurrent = selected_expressions.classify_until([";"], iToken, lObjects) + oDataStructure.replace_next_token_required(":=", token.assignment) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + selected_expressions.classify_until([";"], oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/selected_waveform_assignment.py b/vsg/vhdlFile/classify/selected_waveform_assignment.py index ef6857d8b..7ae992e86 100644 --- a/vsg/vhdlFile/classify/selected_waveform_assignment.py +++ b/vsg/vhdlFile/classify/selected_waveform_assignment.py @@ -1,37 +1,43 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import selected_waveform_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import delay_mechanism, expression, selected_waveforms +from vsg.vhdlFile.classify import delay_mechanism, expression, selected_waveforms, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ selected_waveform_assignment ::= with expression select [ ? ] target <= [delay_machanism] selected_waveforms ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): return False - if utils.find_in_range("<=", iToken, ";", lObjects): - if not utils.find_in_range("force", iToken, ";", lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.does_string_exist_before_string("<=", ";"): + if not oDataStructure.does_string_exist_before_string("force", ";"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("with", token.with_keyword, iToken, lObjects) - iCurrent = expression.classify_until(["select"], iToken, lObjects) - iCurrent = utils.assign_next_token_required("select", token.select_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("?", token.question_mark, iCurrent, lObjects) - iCurrent = utils.assign_tokens_until("<=", token.target, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("with", token.with_keyword) - iCurrent = delay_mechanism.detect(iCurrent, lObjects) + expression.classify_until(["select"], oDataStructure) - iCurrent = selected_waveforms.classify_until([";"], iToken, lObjects) + oDataStructure.replace_next_token_required("select", token.select_keyword) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + oDataStructure.replace_next_token_with_if("?", token.question_mark) - return iCurrent + utils.assign_tokens_until("<=", token.target, oDataStructure) + + oDataStructure.replace_next_token_required("<=", token.assignment) + + delay_mechanism.detect(oDataStructure) + + selected_waveforms.classify_until([";"], oDataStructure) + + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/selected_waveforms.py b/vsg/vhdlFile/classify/selected_waveforms.py index 7d4f1e08d..c8ad48f3a 100644 --- a/vsg/vhdlFile/classify/selected_waveforms.py +++ b/vsg/vhdlFile/classify/selected_waveforms.py @@ -1,29 +1,31 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators, parser from vsg.token import selected_waveforms as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import choices, waveform -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ selected_waveforms ::= { waveform when choices , } waveform when choices """ - iCurrent = iToken lMyUntils = lUntils lMyUntils.append(",") - iCurrent = waveform.classify_until(["when"], iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) - iCurrent = choices.classify_until(lMyUntils, iCurrent, lObjects) + waveform.classify_until(["when"], oDataStructure) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) - iCurrent = waveform.classify_until(["when"], iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("when", token.when_keyword, iCurrent, lObjects) - iCurrent = choices.classify_until(lMyUntils, iCurrent, lObjects) + oDataStructure.replace_next_token_required("when", token.when_keyword) - return iCurrent + choices.classify_until(lMyUntils, oDataStructure) + + while oDataStructure.is_next_token(","): + oDataStructure.replace_next_token_with(token.comma) + + waveform.classify_until(["when"], oDataStructure) + + oDataStructure.replace_next_token_required("when", token.when_keyword) + + choices.classify_until(lMyUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/sensitivity_clause.py b/vsg/vhdlFile/classify/sensitivity_clause.py index 470808309..117d5f027 100644 --- a/vsg/vhdlFile/classify/sensitivity_clause.py +++ b/vsg/vhdlFile/classify/sensitivity_clause.py @@ -1,24 +1,24 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import sensitivity_clause as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import sensitivity_list -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ sensitivity_clause ::= on sensitivity_list """ - if utils.is_next_token("on", iToken, lObjects): + if oDataStructure.is_next_token("on"): return True return False -def classify_until(lUntils, iToken, lObjects): - iCurrent = utils.assign_next_token_required("on", token.on_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): + oDataStructure.replace_next_token_required("on", token.on_keyword) - iCurrent = sensitivity_list.classify_until(lUntils, iCurrent, lObjects) - - return iCurrent + sensitivity_list.classify_until(lUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/sensitivity_list.py b/vsg/vhdlFile/classify/sensitivity_list.py index 36e57f61b..c51bf8fec 100644 --- a/vsg/vhdlFile/classify/sensitivity_list.py +++ b/vsg/vhdlFile/classify/sensitivity_list.py @@ -1,50 +1,39 @@ # -*- coding: utf-8 -*- import copy -from vsg import parser +from vsg import decorators, parser from vsg.token import sensitivity_list as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import name +from vsg.vhdlFile.classify import name, utils -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ sensitivity_list ::= *signal*_name { , *signal*_name} """ - iCurrent = iToken - iStop = len(lObjects) - 1 - iOpenParenthesis = 0 - iCloseParenthesis = 0 - while iCurrent < iStop: - iCurrent = utils.find_next_token(iCurrent, lObjects) - if utils.token_is_open_parenthesis(iCurrent, lObjects): - iOpenParenthesis += 1 - if utils.token_is_close_parenthesis(iCurrent, lObjects): - iCloseParenthesis += 1 - if iOpenParenthesis < iCloseParenthesis: + iParen = 0 + while oDataStructure.advance_to_next_token(): + iParen = utils.update_paren_counter(iParen, oDataStructure) + + if utils.unmatched_close_paren_found(iParen): break + + if oDataStructure.is_next_token(","): + oDataStructure.replace_next_token_with(token.comma) else: - if utils.is_next_token(",", iCurrent, lObjects): - utils.assign_token(lObjects, iCurrent, token.comma) - else: - iCurrent = name.classify_until([","], iCurrent, lObjects) - return iCurrent + name.classify_until([","], oDataStructure) -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ sensitivity_list ::= *signal*_name { , *signal*_name} """ - iCurrent = iToken - iLast = 0 lMyUntils = copy.deepcopy(lUntils) lMyUntils.append(",") - while iLast != iCurrent: - iLast = iCurrent - if lObjects[utils.find_next_token(iCurrent, lObjects)].get_lower_value() in lUntils: - return iCurrent - iCurrent = utils.assign_next_token_if(",", token.comma, iCurrent, lObjects) - iCurrent = name.classify_until(lMyUntils, iCurrent, lObjects) + while not oDataStructure.is_next_token_one_of(lUntils): + oDataStructure.replace_next_token_with_if(",", token.comma) + name.classify_until(lMyUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/sequence_of_statements.py b/vsg/vhdlFile/classify/sequence_of_statements.py index e577fb2d1..a655acfe6 100644 --- a/vsg/vhdlFile/classify/sequence_of_statements.py +++ b/vsg/vhdlFile/classify/sequence_of_statements.py @@ -1,17 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import sequential_statement -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure, lUnless): """ sequence_of_statements ::= { sequential_statement } """ - - iLast = 0 - iCurrent = iToken - while iLast != iCurrent: - iLast = iCurrent - iCurrent = sequential_statement.detect(iCurrent, lObjects) - return iCurrent + while not oDataStructure.is_next_token_one_of(lUnless): + if not sequential_statement.detect(oDataStructure): + return False diff --git a/vsg/vhdlFile/classify/sequential_statement.py b/vsg/vhdlFile/classify/sequential_statement.py index 8c5ffd946..cc90f0448 100644 --- a/vsg/vhdlFile/classify/sequential_statement.py +++ b/vsg/vhdlFile/classify/sequential_statement.py @@ -1,7 +1,6 @@ # -*- coding: utf-8 -*- -# from vsg.vhdlFile import utils - +from vsg import decorators from vsg.vhdlFile.classify import ( assertion_statement, case_statement, @@ -19,7 +18,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ sequential_statement ::= wait_statement @@ -37,55 +37,40 @@ def detect(iToken, lObjects): | null_statement """ - iReturn = wait_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if wait_statement.detect(oDataStructure): + return True - iReturn = assertion_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if assertion_statement.detect(oDataStructure): + return True - iReturn = report_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if report_statement.detect(oDataStructure): + return True - iReturn = case_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if case_statement.detect(oDataStructure): + return True - iReturn = if_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if if_statement.detect(oDataStructure): + return True - iReturn = loop_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if loop_statement.detect(oDataStructure): + return True - iReturn = variable_assignment_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if variable_assignment_statement.detect(oDataStructure): + return True - iReturn = exit_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if exit_statement.detect(oDataStructure): + return True - iReturn = signal_assignment_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if signal_assignment_statement.detect(oDataStructure): + return True - iReturn = procedure_call_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if next_statement.detect(oDataStructure): + return True - iReturn = next_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if return_statement.detect(oDataStructure): + return True - iReturn = return_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if null_statement.detect(oDataStructure): + return True - iReturn = null_statement.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - return iToken + return procedure_call_statement.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/signal_assignment_statement.py b/vsg/vhdlFile/classify/signal_assignment_statement.py index 16268d9b2..36d90a1c8 100644 --- a/vsg/vhdlFile/classify/signal_assignment_statement.py +++ b/vsg/vhdlFile/classify/signal_assignment_statement.py @@ -1,15 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import signal_assignment_statement as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( conditional_signal_assignment, selected_signal_assignment, simple_signal_assignment, + utils, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ signal_assignment_statement ::= [ label : ] simple_signal_assignment @@ -17,18 +19,19 @@ def detect(iToken, lObjects): | [ label : ] selected_signal_assignment """ - iCurrent = iToken + if selected_signal_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + selected_signal_assignment.classify(oDataStructure) + return True - if selected_signal_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label, token.label_colon) - iCurrent = selected_signal_assignment.classify(iCurrent, lObjects) + if conditional_signal_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + conditional_signal_assignment.classify(oDataStructure) + return True - elif conditional_signal_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label, token.label_colon) - iCurrent = conditional_signal_assignment.classify(iCurrent, lObjects) + if simple_signal_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + simple_signal_assignment.classify(oDataStructure) + return True - elif simple_signal_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label, token.label_colon) - iCurrent = simple_signal_assignment.classify(iCurrent, lObjects) - - return iCurrent + return False diff --git a/vsg/vhdlFile/classify/signal_declaration.py b/vsg/vhdlFile/classify/signal_declaration.py index 9d2b52db6..e16693256 100644 --- a/vsg/vhdlFile/classify/signal_declaration.py +++ b/vsg/vhdlFile/classify/signal_declaration.py @@ -1,7 +1,7 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import signal_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( expression, identifier_list, @@ -10,31 +10,33 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ signal_declaration ::= signal identifier_list : subtype_indication [ signal_kind ] [ := expression ] ; """ - if utils.is_next_token("signal", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_token("signal"): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.signal_keyword) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("signal", token.signal_keyword, iToken, lObjects) - iCurrent = identifier_list.classify_until([":"], iCurrent, lObjects, token.identifier) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + identifier_list.classify_until([":"], oDataStructure, token.identifier) - iCurrent = subtype_indication.classify(iCurrent, lObjects) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = signal_kind.detect(iToken, lObjects) + subtype_indication.classify(oDataStructure) - if utils.is_next_token(":=", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(":=", token.assignment_operator, iCurrent, lObjects) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) + signal_kind.detect(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + if oDataStructure.is_next_token(":="): + oDataStructure.replace_next_token_with(token.assignment_operator) + expression.classify_until([";"], oDataStructure) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/signal_kind.py b/vsg/vhdlFile/classify/signal_kind.py index f77c958a3..90f556ae1 100644 --- a/vsg/vhdlFile/classify/signal_kind.py +++ b/vsg/vhdlFile/classify/signal_kind.py @@ -1,25 +1,23 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import signal_kind as token -from vsg.vhdlFile import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ signal_kind ::= register | bus """ - if utils.is_next_token("register", iToken, lObjects): - return classify(iToken, lObjects) - elif utils.is_next_token("bus", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_seek_token_one_of(["register", "bus"]): + classify(oDataStructure) + return True + return False - return iToken - -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("register", token.register_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("bus", token.bus_keyword, iToken, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("register", token.register_keyword) + oDataStructure.replace_next_token_with_if("bus", token.bus_keyword) diff --git a/vsg/vhdlFile/classify/signature.py b/vsg/vhdlFile/classify/signature.py index 75c216145..c9b70209a 100644 --- a/vsg/vhdlFile/classify/signature.py +++ b/vsg/vhdlFile/classify/signature.py @@ -1,49 +1,43 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import signature as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import type_mark -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ signature ::= **[** [ type_mark { , type_mark } ] [ return type_mark ] **]** NOTE: The [ and ] enclosed by ** are required if the signature is provided. """ - if utils.is_next_token("[", iToken, lObjects): - return classify(iToken, lObjects) + return oDataStructure.is_next_seek_token("[") - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("[", token.open_bracket) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("[", token.open_bracket, iToken, lObjects) + detect_type_mark(oDataStructure) - detect_type_mark(iCurrent, lObjects) + detect_return(oDataStructure) - detect_return(iCurrent, lObjects) + oDataStructure.replace_next_token_required("]", token.close_bracket) - iCurrent = utils.assign_next_token_required("]", token.close_bracket, iCurrent, lObjects) - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def detect_return(oDataStructure): + if oDataStructure.is_next_seek_token("return"): + oDataStructure.replace_next_token_with(token.return_keyword) + type_mark.classify(oDataStructure) -def detect_return(iToken, lObjects): - iCurrent = iToken - if utils.is_next_token("return", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("return", token.return_keyword, iCurrent, lObjects) - iCurrent = type_mark.classify(iCurrent, lObjects) - return iCurrent - - -def detect_type_mark(iToken, lObjects): - iCurrent = iToken - if not utils.is_next_token("return", iCurrent, lObjects): - iCurrent = type_mark.classify(iCurrent, lObjects) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) - iCurrent = type_mark.classify(iCurrent, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def detect_type_mark(oDataStructure): + if not oDataStructure.is_next_seek_token("return"): + type_mark.classify(oDataStructure) + while oDataStructure.is_next_seek_token(","): + oDataStructure.replace_next_token_required(",", token.comma) + type_mark.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/simple_configuration_specification.py b/vsg/vhdlFile/classify/simple_configuration_specification.py index bead53ea5..6ea810e62 100644 --- a/vsg/vhdlFile/classify/simple_configuration_specification.py +++ b/vsg/vhdlFile/classify/simple_configuration_specification.py @@ -1,33 +1,34 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import simple_configuration_specification as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import binding_indication, component_specification -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ simple_configuration_specification ::= **for** component_specification binding_indication ; [ **end** **for** ; ] """ - if utils.is_next_token("for", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("for"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.for_keyword) - iCurrent = utils.assign_next_token_required("for", token.for_keyword, iCurrent, lObjects) + component_specification.classify(oDataStructure) - iCurrent = component_specification.classify(iCurrent, lObjects) - iCurrent = binding_indication.classify(iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + binding_indication.classify(oDataStructure) - if utils.is_next_token("end", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("for", token.end_for_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + oDataStructure.replace_next_token_required(";", token.semicolon) - return iCurrent + if oDataStructure.is_next_token("end"): + oDataStructure.replace_next_token_with(token.end_keyword) + oDataStructure.replace_next_token_required("for", token.end_for_keyword) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/simple_force_assignment.py b/vsg/vhdlFile/classify/simple_force_assignment.py index 44132de8a..d8015e8c8 100644 --- a/vsg/vhdlFile/classify/simple_force_assignment.py +++ b/vsg/vhdlFile/classify/simple_force_assignment.py @@ -1,32 +1,31 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import simple_force_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import expression, force_mode +from vsg.vhdlFile.classify import expression, force_mode, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ simple_force_assignment ::= target <= force [ force_mode ] expression ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): return False - if utils.find_in_range("<=", iToken, ";", lObjects): - if utils.find_in_range("force", iToken, ";", lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.does_string_exist_before_string("<=", ";"): + return oDataStructure.does_string_exist_before_string("force", ";") + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_tokens_until("<=", token.target, iToken, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("force", token.force_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.assign_tokens_until("<=", token.target, oDataStructure) + oDataStructure.replace_next_token_required("<=", token.assignment) + oDataStructure.replace_next_token_required("force", token.force_keyword) - iCurrent = force_mode.detect(iCurrent, lObjects) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) + force_mode.detect(oDataStructure) + expression.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/simple_release_assignment.py b/vsg/vhdlFile/classify/simple_release_assignment.py index bd2ecfdfe..881428c31 100644 --- a/vsg/vhdlFile/classify/simple_release_assignment.py +++ b/vsg/vhdlFile/classify/simple_release_assignment.py @@ -1,28 +1,26 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import simple_release_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import force_mode +from vsg.vhdlFile.classify import force_mode, utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ simple_release_assignment ::= target <= release [ force_mode ] ; """ - if utils.find_in_range("release", iToken, ";", lObjects): - return classify(iToken, lObjects) - return iToken + return oDataStructure.does_string_exist_before_string("release", ";") -def classify(iToken, lObjects): - iCurrent = utils.assign_tokens_until("<=", token.target, iToken, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("release", token.release_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.assign_tokens_until("<=", token.target, oDataStructure) + oDataStructure.replace_next_token_required("<=", token.assignment) + oDataStructure.replace_next_token_required("release", token.release_keyword) - iCurrent = force_mode.detect(iCurrent, lObjects) + force_mode.detect(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/simple_signal_assignment.py b/vsg/vhdlFile/classify/simple_signal_assignment.py index 2544b6067..8a2510a2f 100644 --- a/vsg/vhdlFile/classify/simple_signal_assignment.py +++ b/vsg/vhdlFile/classify/simple_signal_assignment.py @@ -1,6 +1,6 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import ( simple_force_assignment, simple_release_assignment, @@ -8,7 +8,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ simple_signal_assignment ::= simple_waveform_assignment @@ -16,27 +17,23 @@ def detect(iToken, lObjects): | simple_release_assignment """ - if utils.find_in_next_n_tokens("if", 3, iToken, lObjects): + if oDataStructure.is_next_token_one_of(["if", "elsif", "else"]): return False - if utils.find_in_range("<=", iToken, ";", lObjects): - if utils.find_in_range("when", iToken, ";", lObjects): + + if oDataStructure.does_string_exist_before_string_honoring_parenthesis_hierarchy("<=", ";"): + if oDataStructure.does_string_exist_before_string("with", ";"): return False - if utils.find_in_range("with", iToken, ";", lObjects): + if oDataStructure.does_string_exist_before_string("when", ";"): return False return True return False -def classify(iToken, lObjects): - iCurrent = iToken - iCurrent = simple_force_assignment.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - iCurrent = simple_release_assignment.detect(iToken, lObjects) - if iCurrent != iToken: - return iCurrent - - iCurrent = simple_waveform_assignment.detect(iToken, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if simple_force_assignment.detect(oDataStructure): + simple_force_assignment.classify(oDataStructure) + elif simple_release_assignment.detect(oDataStructure): + simple_release_assignment.classify(oDataStructure) + elif simple_waveform_assignment.detect(oDataStructure): + simple_waveform_assignment.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/simple_variable_assignment.py b/vsg/vhdlFile/classify/simple_variable_assignment.py index c9e961d2b..a2d775f40 100644 --- a/vsg/vhdlFile/classify/simple_variable_assignment.py +++ b/vsg/vhdlFile/classify/simple_variable_assignment.py @@ -1,33 +1,33 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import simple_variable_assignment as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression, target -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ simple_variable_assignment ::= target := expression ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): return False - if utils.find_in_range(":=", iToken, ";", lObjects): - if utils.find_in_range("with", iToken, ";", lObjects): + if oDataStructure.does_string_exist_before_string(":=", ";"): + if oDataStructure.does_string_exist_before_string("with", ";"): return False - if utils.find_in_range("when", iToken, ";", lObjects): + if oDataStructure.does_string_exist_before_string("when", ";"): return False return True return False -def classify(iToken, lObjects): - iCurrent = target.classify(iToken, lObjects, token) - iCurrent = utils.assign_next_token_required(":=", token.assignment, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + target.classify(oDataStructure, token) + oDataStructure.replace_next_token_required(":=", token.assignment) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) + expression.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/simple_waveform_assignment.py b/vsg/vhdlFile/classify/simple_waveform_assignment.py index a3f77f43e..2a9f854e3 100644 --- a/vsg/vhdlFile/classify/simple_waveform_assignment.py +++ b/vsg/vhdlFile/classify/simple_waveform_assignment.py @@ -1,47 +1,45 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import simple_waveform_assignment as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import delay_mechanism, waveform +from vsg.vhdlFile.classify import delay_mechanism, utils, waveform -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ simple_waveform_assignment ::= target <= [ delay_mechanism ] waveform ; """ - if utils.is_next_token_one_of(["when", "if", "elsif", "else"], iToken, lObjects): - return iToken - if is_a_simple_waveform_assignment(iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token_one_of(["when", "if", "elsif", "else"]): + return False + return is_a_simple_waveform_assignment(oDataStructure) -def classify(iToken, lObjects): - iCurrent = utils.assign_tokens_until("<=", token.target, iToken, lObjects) - iCurrent = utils.assign_next_token_required("<=", token.assignment, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.assign_tokens_until("<=", token.target, oDataStructure) + oDataStructure.replace_next_token_required("<=", token.assignment) - iCurrent = delay_mechanism.detect(iCurrent, lObjects) + delay_mechanism.detect(oDataStructure) - iCurrent = waveform.classify_until([";"], iCurrent, lObjects) + waveform.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) + oDataStructure.replace_next_token_required(";", token.semicolon) - return iCurrent - -def is_a_simple_waveform_assignment(iToken, lObjects): - if utils.assignment_operator_found(iToken, lObjects): - if force_or_release_keyword_found(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def is_a_simple_waveform_assignment(oDataStructure): + if utils.assignment_operator_found(oDataStructure): + if force_or_release_keyword_found(oDataStructure): return False return True return False -def force_or_release_keyword_found(iToken, lObjects): - if utils.find_in_range("force", iToken, ";", lObjects): - return True - if utils.find_in_range("release", iToken, ";", lObjects): +@decorators.print_classifier_debug_info(__name__) +def force_or_release_keyword_found(oDataStructure): + if oDataStructure.does_string_exist_before_string("force", ";"): return True - return False + return oDataStructure.does_string_exist_before_string("release", ";") diff --git a/vsg/vhdlFile/classify/subprogram_body.py b/vsg/vhdlFile/classify/subprogram_body.py index 2ca6a7e56..694738c12 100644 --- a/vsg/vhdlFile/classify/subprogram_body.py +++ b/vsg/vhdlFile/classify/subprogram_body.py @@ -1,7 +1,7 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import subprogram_body as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( subprogram_declarative_part, subprogram_kind, @@ -9,7 +9,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_body ::= subprogram_specification is @@ -19,26 +20,26 @@ def detect(iToken, lObjects): end [ subprogram_kind ] [ designator ] ; """ - if utils.is_next_token("is", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("is"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.is_keyword) - iCurrent = subprogram_declarative_part.detect(iCurrent, lObjects) + subprogram_declarative_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("begin", token.begin_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("begin", token.begin_keyword) - iCurrent = subprogram_statement_part.detect(iCurrent, lObjects) + subprogram_statement_part.detect(oDataStructure) - iCurrent = utils.assign_next_token_required("end", token.end_keyword, iCurrent, lObjects) + oDataStructure.replace_next_token_required("end", token.end_keyword) - if subprogram_kind.detect(iCurrent, lObjects): - iCurrent = subprogram_kind.classify(iCurrent, lObjects) + if subprogram_kind.detect(oDataStructure): + subprogram_kind.classify(oDataStructure) - iCurrent = utils.assign_next_token_if_not(";", token.designator, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_with_if_not(";", token.designator) + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/subprogram_declaration.py b/vsg/vhdlFile/classify/subprogram_declaration.py index e8698862f..d91696eed 100644 --- a/vsg/vhdlFile/classify/subprogram_declaration.py +++ b/vsg/vhdlFile/classify/subprogram_declaration.py @@ -1,18 +1,19 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import subprogram_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import subprogram_specification -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_declaration ::= subprogram_specification ; """ - iCurrent = subprogram_specification.detect(iToken, lObjects) - if iCurrent != iToken: - if utils.is_next_token(";", iCurrent, lObjects): - return utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - return iCurrent + if subprogram_specification.detect(oDataStructure): + if oDataStructure.is_next_token(";"): + oDataStructure.replace_next_token_with(token.semicolon) + return True + return False diff --git a/vsg/vhdlFile/classify/subprogram_declarative_item.py b/vsg/vhdlFile/classify/subprogram_declarative_item.py index a896bdbdc..5219822c5 100644 --- a/vsg/vhdlFile/classify/subprogram_declarative_item.py +++ b/vsg/vhdlFile/classify/subprogram_declarative_item.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( alias_declaration, attribute_declaration, @@ -19,7 +20,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_declarative_item ::= subprogram_declaration @@ -41,61 +43,54 @@ def detect(iToken, lObjects): | group_declaration """ - iReturn = subprogram_declaration.detect(iToken, lObjects) - if iReturn != iToken: - iReturn = subprogram_body.detect(iReturn, lObjects) - return iReturn + if subprogram_declaration.detect(oDataStructure): + if subprogram_body.detect(oDataStructure): + return True + return True - iReturn = subprogram_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subprogram_instantiation_declaration.detect(oDataStructure): + subprogram_instantiation_declaration.classify(oDataStructure) + return True - iReturn = package_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_declaration.detect(oDataStructure): + package_declaration.classify(oDataStructure) + return True - iReturn = package_body.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_body.detect(oDataStructure): + package_body.classify(oDataStructure) + return True - iReturn = package_instantiation_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if package_instantiation_declaration.detect(oDataStructure): + package_instantiation_declaration.classify(oDataStructure) + return True - iReturn = type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if type_declaration.detect(oDataStructure): + return True - iReturn = subtype_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if subtype_declaration.detect(oDataStructure): + subtype_declaration.classify(oDataStructure) + return True - iReturn = constant_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if constant_declaration.detect(oDataStructure): + return True - iReturn = variable_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if variable_declaration.detect(oDataStructure): + return True - iReturn = file_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if file_declaration.detect(oDataStructure): + return True - iReturn = alias_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if alias_declaration.detect(oDataStructure): + return True - iReturn = attribute_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_declaration.detect(oDataStructure): + attribute_declaration.classify(oDataStructure) + return True - iReturn = attribute_specification.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if attribute_specification.detect(oDataStructure): + return True - iReturn = use_clause.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if use_clause.detect(oDataStructure): + return True - return iToken + return False diff --git a/vsg/vhdlFile/classify/subprogram_declarative_part.py b/vsg/vhdlFile/classify/subprogram_declarative_part.py index ddcbba430..5eb8b60f2 100644 --- a/vsg/vhdlFile/classify/subprogram_declarative_part.py +++ b/vsg/vhdlFile/classify/subprogram_declarative_part.py @@ -1,17 +1,15 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import subprogram_declarative_item -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_declarative_part ::= { subprogram_declarative_item } """ - iLast = 0 - iCurrent = iToken - while iLast != iCurrent: - iLast = iCurrent - iCurrent = subprogram_declarative_item.detect(iCurrent, lObjects) - return iCurrent + while subprogram_declarative_item.detect(oDataStructure): + pass diff --git a/vsg/vhdlFile/classify/subprogram_header.py b/vsg/vhdlFile/classify/subprogram_header.py index 3fdd581f8..94059a38b 100644 --- a/vsg/vhdlFile/classify/subprogram_header.py +++ b/vsg/vhdlFile/classify/subprogram_header.py @@ -1,31 +1,32 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import subprogram_header as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import generic_list, generic_map_aspect -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_header ::= [ generic ( generic_list ) [ generic_map_aspect ] ] """ - if utils.is_next_token("generic", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("generic"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - if utils.find_in_next_n_tokens("(", 2, iToken, lObjects): - iCurrent = utils.assign_next_token_required("generic", token.generic_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + if oDataStructure.does_string_exist_in_next_n_tokens("(", 2): + oDataStructure.replace_next_token_required("generic", token.generic_keyword) + oDataStructure.replace_next_token_required("(", token.open_parenthesis) - iCurrent = generic_list.classify(iCurrent, lObjects) + generic_list.classify(oDataStructure) - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) + oDataStructure.replace_next_token_required(")", token.close_parenthesis) - iCurrent = generic_map_aspect.detect(iToken, lObjects) - - return iCurrent + generic_map_aspect.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/subprogram_instantiation_declaration.py b/vsg/vhdlFile/classify/subprogram_instantiation_declaration.py index fd44abd5b..cae0931f2 100644 --- a/vsg/vhdlFile/classify/subprogram_instantiation_declaration.py +++ b/vsg/vhdlFile/classify/subprogram_instantiation_declaration.py @@ -1,38 +1,38 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import subprogram_instantiation_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import generic_map_aspect, signature, subprogram_kind -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_instantiation_declaration ::= subprogram_kind identifier is new uninstantiated_subprogram_name [ signature ] [ generic_map_aspect ] ; """ - if subprogram_kind.detect(iToken, lObjects): - if utils.find_in_next_n_tokens("is", 3, iToken, lObjects): - if utils.find_in_next_n_tokens("new", 4, iToken, lObjects): - return classify(iToken, lObjects) - else: - return iToken - return iToken + if subprogram_kind.detect(oDataStructure): + if oDataStructure.does_string_exist_in_next_n_tokens("is", 3): + if oDataStructure.does_string_exist_in_next_n_tokens("new", 4): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = subprogram_kind.classify(iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + subprogram_kind.classify(oDataStructure) - iCurrent = utils.assign_next_token(token.identifier, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("new", token.new_keyword, iCurrent, lObjects) - iCurrent = utils.assign_next_token(token.uninstantiated_subprogram_name, iCurrent, lObjects) + oDataStructure.replace_next_token_with(token.identifier) + oDataStructure.replace_next_token_required("is", token.is_keyword) + oDataStructure.replace_next_token_required("new", token.new_keyword) + oDataStructure.replace_next_token_with(token.uninstantiated_subprogram_name) - iCurrent = signature.detect(iCurrent, lObjects) + if signature.detect(oDataStructure): + signature.classify(oDataStructure) - iCurrent = generic_map_aspect.detect(iCurrent, lObjects) + generic_map_aspect.detect(oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/subprogram_kind.py b/vsg/vhdlFile/classify/subprogram_kind.py index 30ace8865..c854f7076 100644 --- a/vsg/vhdlFile/classify/subprogram_kind.py +++ b/vsg/vhdlFile/classify/subprogram_kind.py @@ -1,24 +1,24 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import subprogram_kind as token -from vsg.vhdlFile import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_kind ::= procedure | function """ - if utils.is_next_token("procedure", iToken, lObjects): + if oDataStructure.is_next_token("procedure"): return True - if utils.is_next_token("function", iToken, lObjects): + if oDataStructure.is_next_token("function"): return True return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("procedure", token.procedure_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_if("function", token.function_keyword, iToken, lObjects) - - return iCurrent +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("procedure", token.procedure_keyword) + oDataStructure.replace_next_token_with_if("function", token.function_keyword) diff --git a/vsg/vhdlFile/classify/subprogram_specification.py b/vsg/vhdlFile/classify/subprogram_specification.py index ed99433b7..ab3977edd 100644 --- a/vsg/vhdlFile/classify/subprogram_specification.py +++ b/vsg/vhdlFile/classify/subprogram_specification.py @@ -1,21 +1,18 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import function_specification, procedure_specification -def detect(iCurrent, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_specification ::= procedure_specification | function_specification """ - iReturn = procedure_specification.detect(iCurrent, lObjects) - if iReturn != iCurrent: - return iReturn + if procedure_specification.detect(oDataStructure): + return True - iReturn = function_specification.detect(iCurrent, lObjects) - if iReturn != iCurrent: - return iReturn - - return iCurrent + return function_specification.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/subprogram_statement_part.py b/vsg/vhdlFile/classify/subprogram_statement_part.py index c168c4f57..ac2a97eac 100644 --- a/vsg/vhdlFile/classify/subprogram_statement_part.py +++ b/vsg/vhdlFile/classify/subprogram_statement_part.py @@ -1,17 +1,17 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import sequential_statement -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subprogram_statement_part ::= { sequential_statement } """ - iLast = 0 - iCurrent = iToken - while iLast != iCurrent: - iLast = iCurrent - iCurrent = sequential_statement.detect(iCurrent, lObjects) - return iCurrent + while sequential_statement.detect(oDataStructure): + pass + + return False diff --git a/vsg/vhdlFile/classify/subtype_declaration.py b/vsg/vhdlFile/classify/subtype_declaration.py index e8ca6b0af..c9931c669 100644 --- a/vsg/vhdlFile/classify/subtype_declaration.py +++ b/vsg/vhdlFile/classify/subtype_declaration.py @@ -1,31 +1,31 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import subtype_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import identifier, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ subtype_declaration ::= subtype identifier is subtype_indication ; """ - if utils.is_next_token("subtype", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_seek_token("subtype"): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_required("subtype", token.subtype_keyword) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("subtype", token.subtype_keyword, iToken, lObjects) + identifier.classify(oDataStructure, token.identifier) - iCurrent = identifier.classify(iCurrent, lObjects, token.identifier) + oDataStructure.replace_next_token_required("is", token.is_keyword) - iCurrent = utils.assign_next_token_required("is", token.is_keyword, iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/subtype_indication.py b/vsg/vhdlFile/classify/subtype_indication.py index 8272663c5..8449b9c6c 100644 --- a/vsg/vhdlFile/classify/subtype_indication.py +++ b/vsg/vhdlFile/classify/subtype_indication.py @@ -1,17 +1,18 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import decorators from vsg.vhdlFile.classify import constraint, resolution_indication, type_mark -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ subtype_indication ::= [ resolution_indication ] type_mark [ constraint ] """ - iCurrent = resolution_indication.detect(iToken, lObjects) - iCurrent = utils.find_next_non_whitespace_token(iCurrent, lObjects) - iCurrent = type_mark.classify(iCurrent, lObjects) - iCurrent = constraint.detect(iCurrent, lObjects) - return iCurrent + resolution_indication.detect(oDataStructure) + + type_mark.classify(oDataStructure) + + constraint.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/target.py b/vsg/vhdlFile/classify/target.py index d315b8eab..7dd555e5f 100644 --- a/vsg/vhdlFile/classify/target.py +++ b/vsg/vhdlFile/classify/target.py @@ -1,16 +1,17 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import aggregate +from vsg import decorators +from vsg.vhdlFile.classify import aggregate, utils -def classify(iToken, lObjects, oTokenClass): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure, oTokenClass): """ target ::= name | aggregate """ - if utils.is_next_token("(", iToken, lObjects): - return aggregate.classify(iToken, lObjects, oTokenClass) + if oDataStructure.is_next_seek_token("("): + aggregate.classify(oDataStructure, oTokenClass) else: - return utils.assign_tokens_until(":=", oTokenClass.simple_name, iToken, lObjects) + utils.assign_tokens_until(":=", oTokenClass.simple_name, oDataStructure) diff --git a/vsg/vhdlFile/classify/timeout_clause.py b/vsg/vhdlFile/classify/timeout_clause.py index 2a9d8ccd9..94645c42e 100644 --- a/vsg/vhdlFile/classify/timeout_clause.py +++ b/vsg/vhdlFile/classify/timeout_clause.py @@ -1,24 +1,24 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import timeout_clause as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ timeout_clause ::= for *time*_expression """ - if utils.is_next_token("for", iToken, lObjects): + if oDataStructure.is_next_seek_token("for"): return True return False -def classify_until(lUntils, iToken, lObjects): - iCurrent = utils.assign_next_token_required("for", token.for_keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): + oDataStructure.replace_next_token_with(token.for_keyword) - iCurrent = expression.classify_until(lUntils, iCurrent, lObjects) - - return iCurrent + expression.classify_until(lUntils, oDataStructure) diff --git a/vsg/vhdlFile/classify/type_declaration.py b/vsg/vhdlFile/classify/type_declaration.py index c101d192c..d6e3571e4 100644 --- a/vsg/vhdlFile/classify/type_declaration.py +++ b/vsg/vhdlFile/classify/type_declaration.py @@ -1,22 +1,19 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import full_type_declaration, incomplete_type_declaration -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ type_declaration ::= full_type_declaration | incomplete_type_declaration """ - iReturn = full_type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if full_type_declaration.detect(oDataStructure): + return True - iReturn = incomplete_type_declaration.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return incomplete_type_declaration.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/type_definition.py b/vsg/vhdlFile/classify/type_definition.py index 20193bf71..8e3715656 100644 --- a/vsg/vhdlFile/classify/type_definition.py +++ b/vsg/vhdlFile/classify/type_definition.py @@ -1,5 +1,6 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.vhdlFile.classify import ( access_type_definition, composite_type_definition, @@ -9,7 +10,8 @@ ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ type_definition ::= scalar_type_definition @@ -19,24 +21,16 @@ def detect(iToken, lObjects): | protected_type_definition """ - iReturn = scalar_type_definition.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if scalar_type_definition.detect(oDataStructure): + return True - iReturn = access_type_definition.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if access_type_definition.detect(oDataStructure): + return True - iReturn = composite_type_definition.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if composite_type_definition.detect(oDataStructure): + return True - iReturn = file_type_definition.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn + if file_type_definition.detect(oDataStructure): + return True - iReturn = protected_type_definition.detect(iToken, lObjects) - if iReturn != iToken: - return iReturn - - return iToken + return protected_type_definition.detect(oDataStructure) diff --git a/vsg/vhdlFile/classify/type_mark.py b/vsg/vhdlFile/classify/type_mark.py index 6104ad89c..ff5c837f9 100644 --- a/vsg/vhdlFile/classify/type_mark.py +++ b/vsg/vhdlFile/classify/type_mark.py @@ -1,21 +1,19 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators from vsg.token import type_mark as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import attribute_name -def classify(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): """ type_mark ::= *type*_name | *subtype*_name """ - if attribute_name.detect(iToken, lObjects): - return attribute_name.classify(iToken, lObjects) + if attribute_name.detect(oDataStructure): + return attribute_name.classify(oDataStructure) - iCurrent = utils.assign_next_token(token.name, iToken, lObjects) - - return iCurrent + oDataStructure.replace_next_token_with(token.name) diff --git a/vsg/vhdlFile/classify/unbounded_array_definition.py b/vsg/vhdlFile/classify/unbounded_array_definition.py index a5c811dcb..1fa573f36 100644 --- a/vsg/vhdlFile/classify/unbounded_array_definition.py +++ b/vsg/vhdlFile/classify/unbounded_array_definition.py @@ -1,36 +1,35 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import unbounded_array_definition as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import index_subtype_definition, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ unbounded_array_definition ::= array ( index_subtype_definition { , index_subtype_definition } ) of *element*_subtype_indication """ - if utils.is_next_token("array", iToken, lObjects): - if utils.find_in_next_n_tokens("<>", 5, iToken, lObjects): - return classify(iToken, lObjects) - else: - return iToken + if oDataStructure.is_next_seek_token("array"): + if oDataStructure.does_string_exist_in_next_n_tokens("<>", 5): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with(token.array_keyword) + oDataStructure.replace_next_token_required("(", token.open_parenthesis) + index_subtype_definition.classify(oDataStructure) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("array", token.array_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("(", token.open_parenthesis, iCurrent, lObjects) - iCurrent = index_subtype_definition.classify(iToken, lObjects) + while oDataStructure.is_next_seek_token(","): + oDataStructure.replace_next_token_with(token.comma) + index_subtype_definition.classify(oDataStructure) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) - iCurrent = index_subtype_definition.classify(iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(")", token.close_parenthesis, iCurrent, lObjects) - iCurrent = utils.assign_next_token_required("of", token.of_keyword, iCurrent, lObjects) - iCurrent = subtype_indication.classify(iCurrent, lObjects) - return iCurrent + oDataStructure.replace_next_token_required(")", token.close_parenthesis) + oDataStructure.replace_next_token_required("of", token.of_keyword) + subtype_indication.classify(oDataStructure) diff --git a/vsg/vhdlFile/classify/use_clause.py b/vsg/vhdlFile/classify/use_clause.py index 272f8eb6b..6503cc39b 100644 --- a/vsg/vhdlFile/classify/use_clause.py +++ b/vsg/vhdlFile/classify/use_clause.py @@ -1,28 +1,28 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import use_clause as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import utils as classify_utils +from vsg.vhdlFile.classify import utils -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ use_clause ::= use selected_name { , selected_name } ; """ - if utils.is_next_token("use", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if oDataStructure.is_next_token("use"): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_required("use", token.keyword, iToken, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_current_token_with(token.keyword) - while not utils.is_next_token(";", iCurrent, lObjects): - iCurrent = classify_utils.classify_selected_name(iCurrent, lObjects, token) + while not oDataStructure.is_next_token(";"): + utils.classify_selected_name(oDataStructure, token) + oDataStructure.replace_next_token_with_if(",", token.comma) - if utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/utils.py b/vsg/vhdlFile/classify/utils.py index 5f51d3497..691d77549 100644 --- a/vsg/vhdlFile/classify/utils.py +++ b/vsg/vhdlFile/classify/utils.py @@ -1,18 +1,30 @@ # -*- coding: utf-8 -*- -from vsg.vhdlFile import utils +from vsg import exceptions, parser +from vsg.token import ( + choice, + direction, + element_association, + exponent, + relational_operator, +) -def classify_selected_name(iToken, lObjects, token): - iTokenIndex = utils.find_next_token(iToken, lObjects) - lTokens = lObjects[iTokenIndex].get_value().split(".") - if lObjects[iTokenIndex + 1].get_value().startswith('"'): - lTokens[-1] = lObjects.pop(iTokenIndex + 1).get_value() +def classify_selected_name(oDataStructure, token): + oDataStructure.advance_to_next_token() + lTokens = oDataStructure.get_current_token_value().split(".") + if oDataStructure.get_next_token_value().startswith('"'): + lTokens[-1] = oDataStructure.get_next_token_value() + oDataStructure.remove_token_at_offset(1) lNewTokens = build_selected_name_token_list(lTokens, token) - replace_item_in_list_with_a_list_at_index(lObjects, lNewTokens, iTokenIndex) - iNewIndex = iToken + len(lNewTokens) + oDataStructure.replace_current_token_with_list_of_tokens(lNewTokens) - return iNewIndex + +def detect_production(oDataStructure, production): + while oDataStructure.advance_to_next_token(): + if not production.detect(oDataStructure): + return False + return False def build_selected_name_token_list(lTokens, token): @@ -72,12 +84,182 @@ def is_use_clause_selected_name(token): return False -def classify_production(production, iToken, lObjects): - iCurrent = iToken - iStop = len(lObjects) - while iCurrent < iStop: - iPrevious = iCurrent - iCurrent = production.detect(iCurrent, lObjects) - if iPrevious == iCurrent: +def assign_special_tokens(oDataStructure, oType): + sValue = oDataStructure.get_current_token_lower_value() + if sValue == ")": + oDataStructure.replace_current_token_with(parser.close_parenthesis) + elif sValue == "(": + oDataStructure.replace_current_token_with(parser.open_parenthesis) + elif sValue == "-": + if isinstance(oDataStructure.lAllObjects[oDataStructure.iCurrent - 1], exponent.e_keyword): + oDataStructure.replace_current_token_with(exponent.minus_sign) + else: + oDataStructure.replace_current_token_with(parser.todo) + elif sValue == "+": + if isinstance(oDataStructure.lAllObjects[oDataStructure.iCurrent - 1], exponent.e_keyword): + oDataStructure.replace_current_token_with(exponent.plus_sign) + else: + oDataStructure.replace_current_token_with(parser.todo) + elif sValue == "*": + oDataStructure.replace_current_token_with(parser.todo) + elif sValue == "**": + oDataStructure.replace_current_token_with(parser.todo) + elif sValue == "/": + oDataStructure.replace_current_token_with(parser.todo) + elif sValue == "downto": + oDataStructure.replace_current_token_with(direction.downto) + elif sValue == "to": + oDataStructure.replace_current_token_with(direction.to) + elif sValue == "others": + oDataStructure.replace_current_token_with(choice.others_keyword) + elif sValue == "=>": + oDataStructure.replace_current_token_with(element_association.assignment) + elif sValue == "e": + sNextValue = oDataStructure.lAllObjects[oDataStructure.get_current_index() + 1].get_lower_value() + if sNextValue.isdigit() or sNextValue == "-" or sNextValue == "+": + oDataStructure.replace_current_token_with(exponent.e_keyword) + else: + oDataStructure.replace_current_token_with(oType) + elif sValue == "=": + oDataStructure.replace_current_token_with(relational_operator.equal) + elif sValue == "/=": + oDataStructure.replace_current_token_with(relational_operator.not_equal) + elif sValue == "<": + oDataStructure.replace_current_token_with(relational_operator.less_than) + elif sValue == "<=": + oDataStructure.replace_current_token_with(relational_operator.less_than_or_equal) + elif sValue == ">": + oDataStructure.replace_current_token_with(relational_operator.greater_than) + elif sValue == ">=": + oDataStructure.replace_current_token_with(relational_operator.greater_than_or_equal) + elif sValue == "?=": + oDataStructure.replace_current_token_with(relational_operator.question_equal) + elif sValue == "?/=": + oDataStructure.replace_current_token_with(relational_operator.question_not_equal) + elif sValue == "?<": + oDataStructure.replace_current_token_with(relational_operator.question_less_than) + elif sValue == "?<=": + oDataStructure.replace_current_token_with(relational_operator.question_less_than_or_equal) + elif sValue == "?>": + oDataStructure.replace_current_token_with(relational_operator.question_greater_than) + elif sValue == "?>=": + oDataStructure.replace_current_token_with(relational_operator.question_greater_than_or_equal) + + elif exponent_detected(oDataStructure): + oDataStructure.replace_current_token_with(exponent.integer) + else: + oDataStructure.replace_current_token_with(oType) + + +def exponent_detected(oDataStructure): + iPreviousIndex = oDataStructure.get_current_index() - 1 + oToken = oDataStructure.lAllObjects[oDataStructure.get_current_index() - 1] + if isinstance(oToken, exponent.e_keyword): + return True + if isinstance(oToken, exponent.plus_sign): + return True + if isinstance(oToken, exponent.minus_sign): + return True + return False + + +def is_current_token_open_paren(oDataStructure): + return oDataStructure.current_token_lower_value_is("(") + + +def is_current_token_close_paren(oDataStructure): + return oDataStructure.current_token_lower_value_is(")") + + +def unmatched_close_paren_found(iParen): + return iParen == -1 + + +def update_paren_counter(iParen, oDataStructure): + if is_current_token_open_paren(oDataStructure): + return iParen + 1 + if is_current_token_close_paren(oDataStructure): + return iParen - 1 + return iParen + + +def tokenize_label(oDataStructure, label_token, colon_token): + oDataStructure.advance_to_next_token() + iItemCount = 0 + if oDataStructure.are_next_consecutive_tokens([None, ":"]): + oDataStructure.replace_current_token_with(label_token) + oDataStructure.advance_to_next_token() + oDataStructure.replace_current_token_with(colon_token) + + +def keyword_found(sKeyword, oDataStructure): + if oDataStructure.is_next_token(sKeyword): + return True + if oDataStructure.are_next_consecutive_tokens([None, ":", sKeyword]): + return True + return False + + +def assign_tokens_until(sToken, token, oDataStructure): + while not oDataStructure.is_next_token(sToken): + oDataStructure.replace_next_token_with(token) + + +def tokenize_postponed(oDataStructure, token): + oDataStructure.replace_next_token_with_if("postponed", token) + + +def print_error_message(sToken, token, currentToken, oDataStructure): + sFoundToken = oDataStructure.get_current_token_value() + iLine = 0 + iColumn = 0 + iLine = currentToken.iLineNumber + iColumn = calculate_column(oDataStructure) + sModuleName = extract_module_name(token) + sFileName = oDataStructure.sFilename + + sErrorMessage = "\n" + sErrorMessage += f"Error: Unexpected token detected while parsing {sModuleName} @ Line {iLine}, Column {iColumn} in file {sFileName}" + sErrorMessage += "\n" + sErrorMessage += f" Expecting : {sToken}" + sErrorMessage += "\n" + sErrorMessage += f" Found : {sFoundToken}" + sErrorMessage += "\n" + + raise exceptions.ClassifyError(sErrorMessage) + + +def calculate_column(oDataStructure): + iReturn = 0 + for iIndex in range(oDataStructure.iCurrent - 1, 0, -1): + if isinstance(oDataStructure.lAllObjects[iIndex], parser.carriage_return): + break + iReturn += len(oDataStructure.lAllObjects[iIndex].get_value()) + iReturn += 1 + return iReturn + + +def extract_module_name(token): + return token.__module__.split(".")[-1] + + +def assignment_operator_found(oDataStructure): + return oDataStructure.does_string_exist_before_string_honoring_parenthesis_hierarchy("<=", ";") + + +def assign_parenthesis_as_todo(oDataStructure): + if oDataStructure.is_next_token("("): + oDataStructure.replace_next_token_with(parser.open_parenthesis) + + assign_tokens_until_matching_closing_paren(parser.todo, oDataStructure) + + oDataStructure.replace_next_token_with(parser.close_parenthesis) + + +def assign_tokens_until_matching_closing_paren(token, oDataStructure): + iCounter = 1 + while oDataStructure.advance_to_next_token(): + iCounter = update_paren_counter(iCounter, oDataStructure) + if is_current_token_close_paren(oDataStructure) and iCounter == 0: break - return iCurrent + oDataStructure.replace_current_token_with(token) diff --git a/vsg/vhdlFile/classify/variable_assignment_statement.py b/vsg/vhdlFile/classify/variable_assignment_statement.py index 1b4d58a5e..9d40e3999 100644 --- a/vsg/vhdlFile/classify/variable_assignment_statement.py +++ b/vsg/vhdlFile/classify/variable_assignment_statement.py @@ -1,32 +1,36 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import variable_assignment_statement as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import ( conditional_variable_assignment, selected_variable_assignment, simple_variable_assignment, + utils, ) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ variable_assignment_statement ::= [ label : ] simple_variable_assignment | [ label : ] conditional_variable_assignment | [ label : ] selected_variable_assignment """ - iCurrent = iToken - if selected_variable_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label, token.label_colon) - iCurrent = selected_variable_assignment.classify(iCurrent, lObjects) + if selected_variable_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + selected_variable_assignment.classify(oDataStructure) + return True - elif conditional_variable_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label, token.label_colon) - iCurrent = conditional_variable_assignment.classify(iCurrent, lObjects) + if conditional_variable_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + conditional_variable_assignment.classify(oDataStructure) + return True - elif simple_variable_assignment.detect(iToken, lObjects): - iCurrent = utils.tokenize_label(iCurrent, lObjects, token.label, token.label_colon) - iCurrent = simple_variable_assignment.classify(iCurrent, lObjects) + if simple_variable_assignment.detect(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + simple_variable_assignment.classify(oDataStructure) + return True - return iCurrent + return False diff --git a/vsg/vhdlFile/classify/variable_declaration.py b/vsg/vhdlFile/classify/variable_declaration.py index fed300119..f164fa3f0 100644 --- a/vsg/vhdlFile/classify/variable_declaration.py +++ b/vsg/vhdlFile/classify/variable_declaration.py @@ -1,37 +1,36 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import variable_declaration as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression, identifier_list, subtype_indication -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ variable_declaration ::= [ shared ] variable identifier_list : subtype_indication [ := expression ] ; """ - if utils.is_next_token("shared", iToken, lObjects): - return classify(iToken, lObjects) - elif utils.is_next_token("variable", iToken, lObjects): - return classify(iToken, lObjects) + if oDataStructure.is_next_seek_token_one_of(["shared", "variable"]): + classify(oDataStructure) + return True + return False - return iToken +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + oDataStructure.replace_next_token_with_if("shared", token.shared_keyword) + oDataStructure.replace_next_token_required("variable", token.variable_keyword) -def classify(iToken, lObjects): - iCurrent = utils.assign_next_token_if("shared", token.shared_keyword, iToken, lObjects) - iCurrent = utils.assign_next_token_required("variable", token.variable_keyword, iCurrent, lObjects) + identifier_list.classify_until([":"], oDataStructure, token.identifier) - iCurrent = identifier_list.classify_until([":"], iCurrent, lObjects, token.identifier) + oDataStructure.replace_next_token_required(":", token.colon) - iCurrent = utils.assign_next_token_required(":", token.colon, iCurrent, lObjects) + subtype_indication.classify(oDataStructure) - iCurrent = subtype_indication.classify(iCurrent, lObjects) + if oDataStructure.is_next_seek_token(":="): + oDataStructure.replace_next_token_with(token.assignment_operator) + expression.classify_until([";"], oDataStructure) - if utils.is_next_token(":=", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(":=", token.assignment_operator, iCurrent, lObjects) - iCurrent = expression.classify_until([";"], iCurrent, lObjects) - - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/wait_statement.py b/vsg/vhdlFile/classify/wait_statement.py index 7246a681c..2355c5dea 100644 --- a/vsg/vhdlFile/classify/wait_statement.py +++ b/vsg/vhdlFile/classify/wait_statement.py @@ -1,33 +1,39 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import wait_statement as token -from vsg.vhdlFile import utils -from vsg.vhdlFile.classify import condition_clause, sensitivity_clause, timeout_clause +from vsg.vhdlFile.classify import ( + condition_clause, + sensitivity_clause, + timeout_clause, + utils, +) -def detect(iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def detect(oDataStructure): """ wait_statement ::= [ label : ] wait [ sensitivity_clause ] [ condition_clause ] [ timeout_clause ] ; """ - if utils.keyword_found("wait", iToken, lObjects): - return classify(iToken, lObjects) - return iToken + if utils.keyword_found("wait", oDataStructure): + classify(oDataStructure) + return True + return False -def classify(iToken, lObjects): - iCurrent = utils.tokenize_label(iToken, lObjects, token.label, token.label_colon) - iCurrent = utils.assign_next_token_required("wait", token.wait_keyword, iCurrent, lObjects) +@decorators.print_classifier_debug_info(__name__) +def classify(oDataStructure): + utils.tokenize_label(oDataStructure, token.label, token.label_colon) + oDataStructure.replace_next_token_with(token.wait_keyword) - if sensitivity_clause.detect(iCurrent, lObjects): - iCurrent = sensitivity_clause.classify_until([";", "for", "until"], iCurrent, lObjects) + if sensitivity_clause.detect(oDataStructure): + sensitivity_clause.classify_until([";", "for", "until"], oDataStructure) - if condition_clause.detect(iCurrent, lObjects): - iCurrent = condition_clause.classify_until([";", "for"], iCurrent, lObjects) + if condition_clause.detect(oDataStructure): + condition_clause.classify_until([";", "for"], oDataStructure) - if timeout_clause.detect(iCurrent, lObjects): - iCurrent = timeout_clause.classify_until([";"], iCurrent, lObjects) + if timeout_clause.detect(oDataStructure): + timeout_clause.classify_until([";"], oDataStructure) - iCurrent = utils.assign_next_token_required(";", token.semicolon, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_required(";", token.semicolon) diff --git a/vsg/vhdlFile/classify/waveform.py b/vsg/vhdlFile/classify/waveform.py index 63c7b26de..227bb6040 100644 --- a/vsg/vhdlFile/classify/waveform.py +++ b/vsg/vhdlFile/classify/waveform.py @@ -1,31 +1,28 @@ # -*- coding: utf-8 -*- -from vsg import parser +from vsg import decorators, parser from vsg.token import waveform as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import waveform_element -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ waveform ::= waveform_element { , waveform_element } | unaffected """ - if utils.is_next_token("unaffected", iToken, lObjects): - return utils.assign_next_token_required("unaffected", token.unaffected_keyword, iToken, lObjects) + if oDataStructure.is_next_seek_token("unaffected"): + oDataStructure.replace_next_token_with(token.unaffected_keyword) + else: + lMyUntils = lUntils + lMyUntils.append(",") - iCurrent = iToken - lMyUntils = lUntils - lMyUntils.append(",") + waveform_element.classify_until(lMyUntils, oDataStructure) - iCurrent = waveform_element.classify_until(lMyUntils, iCurrent, lObjects) + while oDataStructure.is_next_seek_token(","): + oDataStructure.replace_next_token_with(token.comma) + waveform_element.classify_until(lMyUntils, oDataStructure) - while utils.is_next_token(",", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required(",", token.comma, iCurrent, lObjects) - iCurrent = waveform_element.classify_until(lMyUntils, iCurrent, lObjects) - - iCurrent = utils.assign_next_token_if(")", parser.todo, iCurrent, lObjects) - - return iCurrent + oDataStructure.replace_next_token_with_if(")", parser.todo) diff --git a/vsg/vhdlFile/classify/waveform_element.py b/vsg/vhdlFile/classify/waveform_element.py index 5d6c3a625..6131b6de0 100644 --- a/vsg/vhdlFile/classify/waveform_element.py +++ b/vsg/vhdlFile/classify/waveform_element.py @@ -1,26 +1,25 @@ # -*- coding: utf-8 -*- +from vsg import decorators from vsg.token import waveform_element as token -from vsg.vhdlFile import utils from vsg.vhdlFile.classify import expression -def classify_until(lUntils, iToken, lObjects): +@decorators.print_classifier_debug_info(__name__) +def classify_until(lUntils, oDataStructure): """ waveform_element ::= *value*_expression [ after *time*_expression ] | null [ after *time*_expression ] """ - if utils.is_next_token("null", iToken, lObjects): - iCurrent = utils.assign_next_token_required("null", token.null_keyword, iToken, lObjects) + if oDataStructure.is_next_seek_token("null"): + oDataStructure.replace_next_token_with(token.null_keyword) else: lMyUntils = lUntils lMyUntils.append("after") - iCurrent = expression.classify_until(lMyUntils, iToken, lObjects) + expression.classify_until(lMyUntils, oDataStructure) - if utils.is_next_token("after", iCurrent, lObjects): - iCurrent = utils.assign_next_token_required("after", token.after_keyword, iCurrent, lObjects) - iCurrent = expression.classify_until(lUntils, iCurrent, lObjects) - - return iCurrent + if oDataStructure.is_next_seek_token("after"): + oDataStructure.replace_next_token_with(token.after_keyword) + expression.classify_until(lUntils, oDataStructure) diff --git a/vsg/vhdlFile/utils.py b/vsg/vhdlFile/utils.py index 43c8bb79b..92248660c 100644 --- a/vsg/vhdlFile/utils.py +++ b/vsg/vhdlFile/utils.py @@ -7,7 +7,6 @@ choice, direction, element_association, - exponent, predefined_attribute, relational_operator, ) @@ -32,14 +31,8 @@ def assign_tokens_until_ignoring_paren(sToken, token, iToken, lObjects): return None -def assign_next_token(token, iToken, lObjects): - iCurrent = find_next_token(iToken, lObjects) - try: - lObjects[iCurrent] = token(lObjects[iCurrent].get_value()) - except TypeError: - lObjects[iCurrent] = token() - iCurrent += 1 - return iCurrent +def assign_next_token(token, oDesignFile): + oDesignFile.replace_next_token_with(token) def assign_token(lObjects, iToken, token): @@ -78,16 +71,6 @@ def assign_next_token_if_not_one_of(lTokens, token, iToken, lObjects): return iToken -def assign_next_token_required(sToken, token, iToken, lObjects): - iCurrent = find_next_token(iToken, lObjects) - if object_value_is(lObjects, iCurrent, sToken): - lObjects[iCurrent] = token(lObjects[iCurrent].get_value()) - return iCurrent + 1 - else: - print_error_message(sToken, token, iCurrent, lObjects) - return iToken - - def assign_tokens_until_matching_closing_paren(token, iToken, lObjects): iCounter = 1 iCurrent = iToken @@ -308,19 +291,6 @@ def find_previous_non_whitespace_token(iToken, lObjects): return iCurrent -def detect_submodule(iToken, lObjects, module): - iLast = -1 - iReturn = iToken - while iLast != iReturn: - if is_next_token("end", iReturn, lObjects): - return iToken - iReturn = find_next_token(iReturn, lObjects) - iLast = iReturn - iReturn = module.detect(iReturn, lObjects) - - return iReturn - - def has_label(iObject, lObjects): iCurrent = find_next_token(iObject, lObjects) iCurrent = increment_token_count(iCurrent) @@ -330,14 +300,6 @@ def has_label(iObject, lObjects): return False -def tokenize_postponed(iObject, lObjects, token): - iIndex = find_next_token(iObject, lObjects) - if object_value_is(lObjects, iIndex, "postponed"): - assign_token(lObjects, iIndex, token) - return iIndex + 1 - return iObject - - def tokenize_label(iToken, lObjects, label_token, colon_token): iCurrent = find_next_token(iToken, lObjects) iItemCount = 0 @@ -885,82 +847,6 @@ def assignment_operator_found(iToken, lObjects): return False -def assign_special_tokens(lObjects, iCurrent, oType): - sValue = lObjects[iCurrent].get_lower_value() - if sValue == ")": - assign_token(lObjects, iCurrent, parser.close_parenthesis) - elif sValue == "(": - assign_token(lObjects, iCurrent, parser.open_parenthesis) - elif sValue == "-": - if isinstance(lObjects[iCurrent - 1], exponent.e_keyword): - assign_token(lObjects, iCurrent, exponent.minus_sign) - else: - assign_token(lObjects, iCurrent, parser.todo) - elif sValue == "+": - if isinstance(lObjects[iCurrent - 1], exponent.e_keyword): - assign_token(lObjects, iCurrent, exponent.plus_sign) - else: - assign_token(lObjects, iCurrent, parser.todo) - elif sValue == "*": - assign_token(lObjects, iCurrent, parser.todo) - elif sValue == "**": - assign_token(lObjects, iCurrent, parser.todo) - elif sValue == "/": - assign_token(lObjects, iCurrent, parser.todo) - elif sValue == "downto": - assign_token(lObjects, iCurrent, direction.downto) - elif sValue == "to": - assign_token(lObjects, iCurrent, direction.to) - elif sValue == "others": - assign_token(lObjects, iCurrent, choice.others_keyword) - elif sValue == "=>": - assign_token(lObjects, iCurrent, element_association.assignment) - elif sValue == "e": - if lObjects[iCurrent + 1].get_value().isdigit() or lObjects[iCurrent + 1].get_value() == "-" or lObjects[iCurrent + 1].get_value() == "+": - assign_token(lObjects, iCurrent, exponent.e_keyword) - else: - assign_token(lObjects, iCurrent, oType) - elif sValue == "=": - assign_token(lObjects, iCurrent, relational_operator.equal) - elif sValue == "/=": - assign_token(lObjects, iCurrent, relational_operator.not_equal) - elif sValue == "<": - assign_token(lObjects, iCurrent, relational_operator.less_than) - elif sValue == "<=": - assign_token(lObjects, iCurrent, relational_operator.less_than_or_equal) - elif sValue == ">": - assign_token(lObjects, iCurrent, relational_operator.greater_than) - elif sValue == ">=": - assign_token(lObjects, iCurrent, relational_operator.greater_than_or_equal) - elif sValue == "?=": - assign_token(lObjects, iCurrent, relational_operator.question_equal) - elif sValue == "?/=": - assign_token(lObjects, iCurrent, relational_operator.question_not_equal) - elif sValue == "?<": - assign_token(lObjects, iCurrent, relational_operator.question_less_than) - elif sValue == "?<=": - assign_token(lObjects, iCurrent, relational_operator.question_less_than_or_equal) - elif sValue == "?>": - assign_token(lObjects, iCurrent, relational_operator.question_greater_than) - elif sValue == "?>=": - assign_token(lObjects, iCurrent, relational_operator.question_greater_than_or_equal) - - elif exponent_detected(lObjects, iCurrent): - assign_token(lObjects, iCurrent, exponent.integer) - else: - assign_token(lObjects, iCurrent, oType) - - -def exponent_detected(lObjects, iCurrent): - if isinstance(lObjects[iCurrent - 1], exponent.e_keyword): - return True - if isinstance(lObjects[iCurrent - 1], exponent.plus_sign): - return True - if isinstance(lObjects[iCurrent - 1], exponent.minus_sign): - return True - return False - - def classify_predefined_types(lObjects, iCurrent): if not isinstance(lObjects[iCurrent], parser.todo): return diff --git a/vsg/vhdlFile/vhdlFile.py b/vsg/vhdlFile/vhdlFile.py index 89b5e8ec3..545984b47 100644 --- a/vsg/vhdlFile/vhdlFile.py +++ b/vsg/vhdlFile/vhdlFile.py @@ -1,6 +1,6 @@ # -*- coding: utf-8 -*- -from vsg import config, exceptions, parser, token, tokens +from vsg import config, data_structure, exceptions, parser, token, tokens from vsg.token import ( adding_operator, aggregate, @@ -127,13 +127,16 @@ def __init__(self, filecontent, commandLineArguments=default_cla, sFilename=None def _processFile(self): oOptions = options() + self.lAllObjects = [] + iLineNumber = 1 for sLine in self.filecontent: self.dVars["line"] = sLine lTokens = tokens.create(sLine.rstrip("\n").rstrip("\r")) lObjects = [] for sToken in lTokens: lObjects.append(parser.item(sToken)) + lObjects[-1].iLineNumber = iLineNumber blank.classify(lObjects, oOptions) whitespace.classify(lTokens, lObjects) @@ -143,6 +146,7 @@ def _processFile(self): self.lAllObjects.extend(lObjects) self.lAllObjects.append(parser.carriage_return()) + iLineNumber += 1 try: self.lAllObjects[0].set_filename(self.filename) @@ -150,7 +154,9 @@ def _processFile(self): pass try: - design_file.tokenize(self.lAllObjects) + oDataStructure = data_structure.New(self.lAllObjects) + oDataStructure.set_filename(self.filename) + design_file.tokenize(oDataStructure) except exceptions.ClassifyError as e: if self.commandLineArguments.force_fix and self.commandLineArguments.fix: print(e.message)