Skip to content

Commit b09e03e

Browse files
Working Glib with Stack Counter
0 parents  commit b09e03e

File tree

645 files changed

+103934
-0
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

645 files changed

+103934
-0
lines changed
Binary file not shown.
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,27 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<!-- IMPORTANT: This is an internal file that has been generated
3+
by the Xilinx ISE software. Any direct editing or
4+
changes made to this file may result in unpredictable
5+
behavior or data corruption. It is strongly advised that
6+
users do not edit the contents of this file. -->
7+
<messages>
8+
<msg type="info" file="sim" num="172" delta="old" >Generating IP...
9+
</msg>
10+
11+
<msg type="warning" file="sim" num="100" delta="old" >The Simulation File Type &lt;<arg fmt="%s" index="1">Behavioral</arg>&gt; is not valid for this core. Overriding with File Type &lt;<arg fmt="%s" index="2">structural</arg>&gt;.
12+
</msg>
13+
14+
<msg type="warning" file="sim" num="100" delta="old" >The Simulation File Type &lt;<arg fmt="%s" index="1">Behavioral</arg>&gt; is not valid for this core. Overriding with File Type &lt;<arg fmt="%s" index="2">structural</arg>&gt;.
15+
</msg>
16+
17+
<msg type="warning" file="sim" num="89" delta="new" >A core named &lt;<arg fmt="%s" index="1">chipscope_ila</arg>&gt; already exists in the output directory. Output products for this core may be overwritten.
18+
</msg>
19+
20+
<msg type="info" file="sim" num="949" delta="old" >Finished generation of ASY schematic symbol.
21+
</msg>
22+
23+
<msg type="info" file="sim" num="948" delta="old" >Finished FLIST file generation.
24+
</msg>
25+
26+
</messages>
27+
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,15 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<!-- IMPORTANT: This is an internal file that has been generated -->
3+
<!-- by the Xilinx ISE software. Any direct editing or -->
4+
<!-- changes made to this file may result in unpredictable -->
5+
<!-- behavior or data corruption. It is strongly advised that -->
6+
<!-- users do not edit the contents of this file. -->
7+
<!-- -->
8+
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
9+
10+
<messages>
11+
<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;C:/Users/pix_emul_glib3/prj_iphc_strasbourg/glib_v3/GLIB-master/amc_glib/trunk/glib_v3/fw/fpga/prj/glib_fw/ipcore_dir/chipscope_ila.vhd&quot; into library work</arg>
12+
</msg>
13+
14+
</messages>
15+

GLIB-master/amc_glib/trunk/glib_v3/fw/fpga/prj/glib_fw/ipcore_dir/_xmsgs/xst.xmsgs

+294
Large diffs are not rendered by default.
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,9 @@
1+
Version 4
2+
SymbolType BLOCK
3+
TEXT 32 32 LEFT 4 chipscope_icon
4+
RECTANGLE Normal 32 32 544 864
5+
LINE Wide 576 112 544 112
6+
PIN 576 112 RIGHT 36
7+
PINATTR PinName control0[35:0]
8+
PINATTR Polarity BOTH
9+
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,9 @@
1+
NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ;
2+
TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ;
3+
#Update Constraints
4+
NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ;
5+
NET "U0/iSHIFT_OUT" TIG ;
6+
TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ;
7+
TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ;
8+
TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ;
9+
TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ;
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
# icon XDC
2+
create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}]
3+
create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}]
4+
set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}]
5+
set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2
6+
set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1
7+
set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK}
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,31 @@
1+
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
2+
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
3+
4+
<!-- -->
5+
6+
<!-- For tool use only. Do not edit. -->
7+
8+
<!-- -->
9+
10+
<!-- ProjectNavigator created generated project file. -->
11+
12+
<!-- For use in tracking generated file and other information -->
13+
14+
<!-- allowing preservation of process status. -->
15+
16+
<!-- -->
17+
18+
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
19+
20+
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
21+
22+
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="chipscope_icon.xise"/>
23+
24+
<files xmlns="http://www.xilinx.com/XMLSchema">
25+
<file xil_pn:fileType="FILE_ASY" xil_pn:name="chipscope_icon.asy" xil_pn:origination="imported"/>
26+
<file xil_pn:fileType="FILE_VHO" xil_pn:name="chipscope_icon.vho" xil_pn:origination="imported"/>
27+
</files>
28+
29+
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
30+
31+
</generated_project>

GLIB-master/amc_glib/trunk/glib_v3/fw/fpga/prj/glib_fw/ipcore_dir/chipscope_icon.ncf

Whitespace-only changes.

GLIB-master/amc_glib/trunk/glib_v3/fw/fpga/prj/glib_fw/ipcore_dir/chipscope_icon.ngc

+3
Large diffs are not rendered by default.
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,12 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<symbol version="7" name="chipscope_icon">
3+
<symboltype>BLOCK</symboltype>
4+
<timestamp>2015-10-2T16:30:10</timestamp>
5+
<pin polarity="Output" x="576" y="112" name="control0[35:0]" />
6+
<graph>
7+
<text style="fontsize:40;fontname:Arial" x="32" y="32">chipscope_icon</text>
8+
<rect width="512" x="32" y="32" height="832" />
9+
<line x2="544" y1="112" y2="112" style="linewidth:W" x1="576" />
10+
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="540" y="112" type="pin control0[35:0]" />
11+
</graph>
12+
</symbol>
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,9 @@
1+
NET "U0/U_ICON/*/iDRCK_LOCAL" TNM_NET = J_CLK ;
2+
TIMESPEC TS_J_CLK = PERIOD J_CLK 30 ns ;
3+
#Update Constraints
4+
NET "U0/iUPDATE_OUT" TNM_NET = U_CLK ;
5+
NET "U0/iSHIFT_OUT" TIG ;
6+
TIMESPEC TS_U_TO_J = FROM U_CLK TO J_CLK 15 ns ;
7+
TIMESPEC TS_U_TO_U = FROM U_CLK TO U_CLK 15 ns ;
8+
TIMESPEC TS_J_TO_D = FROM J_CLK TO D_CLK TIG ;
9+
TIMESPEC TS_D_TO_J = FROM D_CLK TO J_CLK TIG ;
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,29 @@
1+
-------------------------------------------------------------------------------
2+
-- Copyright (c) 2015 Xilinx, Inc.
3+
-- All Rights Reserved
4+
-------------------------------------------------------------------------------
5+
-- ____ ____
6+
-- / /\/ /
7+
-- /___/ \ / Vendor : Xilinx
8+
-- \ \ \/ Version : 14.7
9+
-- \ \ Application: XILINX CORE Generator
10+
-- / / Filename : chipscope_icon.vhd
11+
-- /___/ /\ Timestamp : Fri Oct 02 11:30:10 Central Daylight Time 2015
12+
-- \ \ / \
13+
-- \___\/\___\
14+
--
15+
-- Design Name: VHDL Synthesis Wrapper
16+
-------------------------------------------------------------------------------
17+
-- This wrapper is used to integrate with Project Navigator and PlanAhead
18+
19+
LIBRARY ieee;
20+
USE ieee.std_logic_1164.ALL;
21+
ENTITY chipscope_icon IS
22+
port (
23+
CONTROL0: inout std_logic_vector(35 downto 0));
24+
END chipscope_icon;
25+
26+
ARCHITECTURE chipscope_icon_a OF chipscope_icon IS
27+
BEGIN
28+
29+
END chipscope_icon_a;
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,36 @@
1+
-------------------------------------------------------------------------------
2+
-- Copyright (c) 2015 Xilinx, Inc.
3+
-- All Rights Reserved
4+
-------------------------------------------------------------------------------
5+
-- ____ ____
6+
-- / /\/ /
7+
-- /___/ \ / Vendor : Xilinx
8+
-- \ \ \/ Version : 14.7
9+
-- \ \ Application: Xilinx CORE Generator
10+
-- / / Filename : chipscope_icon.vho
11+
-- /___/ /\ Timestamp : Fri Oct 02 11:30:10 Central Daylight Time 2015
12+
-- \ \ / \
13+
-- \___\/\___\
14+
--
15+
-- Design Name: ISE Instantiation template
16+
-- Component Identifier: xilinx.com:ip:chipscope_icon:1.06.a
17+
-------------------------------------------------------------------------------
18+
-- The following code must appear in the VHDL architecture header:
19+
20+
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
21+
component chipscope_icon
22+
PORT (
23+
CONTROL0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0));
24+
25+
end component;
26+
27+
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
28+
-- The following code must appear in the VHDL architecture
29+
-- body. Substitute your own instance name and net names.
30+
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
31+
32+
your_instance_name : chipscope_icon
33+
port map (
34+
CONTROL0 => CONTROL0);
35+
36+
-- INST_TAG_END ------ End INSTANTIATION Template ------------
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,56 @@
1+
##############################################################
2+
#
3+
# Xilinx Core Generator version 14.7
4+
# Date: Fri Oct 02 16:29:18 2015
5+
#
6+
##############################################################
7+
#
8+
# This file contains the customisation parameters for a
9+
# Xilinx CORE Generator IP GUI. It is strongly recommended
10+
# that you do not manually alter this file as it may cause
11+
# unexpected and unsupported behavior.
12+
#
13+
##############################################################
14+
#
15+
# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a
16+
#
17+
##############################################################
18+
#
19+
# BEGIN Project Options
20+
SET addpads = false
21+
SET asysymbol = true
22+
SET busformat = BusFormatAngleBracketNotRipped
23+
SET createndf = false
24+
SET designentry = VHDL
25+
SET device = xc6vlx130t
26+
SET devicefamily = virtex6
27+
SET flowvendor = Other
28+
SET formalverification = false
29+
SET foundationsym = false
30+
SET implementationfiletype = Ngc
31+
SET package = ff1156
32+
SET removerpms = false
33+
SET simulationfiles = Behavioral
34+
SET speedgrade = -1
35+
SET verilogsim = false
36+
SET vhdlsim = true
37+
# END Project Options
38+
# BEGIN Select
39+
SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a
40+
# END Select
41+
# BEGIN Parameters
42+
CSET component_name=chipscope_icon
43+
CSET constraint_type=external
44+
CSET enable_jtag_bufg=true
45+
CSET example_design=false
46+
CSET number_control_ports=1
47+
CSET use_ext_bscan=false
48+
CSET use_softbscan=false
49+
CSET use_unused_bscan=false
50+
CSET user_scan_chain=USER1
51+
# END Parameters
52+
# BEGIN Extra information
53+
MISC pkg_timestamp=2013-10-13T14:12:40Z
54+
# END Extra information
55+
GENERATE
56+
# CRC: ba28dc8f
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
# icon XDC
2+
create_clock -name J_CLK -period 30 -waveform {15 30} [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}]
3+
create_generated_clock -name U_CLK -source [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {name =~ */U_ICON/*/DRCK}] -multiply_by 1 -invert [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/UPDATE}]
4+
set_false_path -through [get_pins -of [get_cells -hier * -filter {LIB_CELL =~ BSCAN*}] -filter {NAME =~ */U_ICON/*/SHIFT}]
5+
set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -setup 2
6+
set_multicycle_path -from [get_clocks U_CLK] -to [get_clocks J_CLK] -hold 1
7+
set_clock_groups -asynchronous -name cross_jtag_clock_domains -group {J_CLK U_CLK}
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,74 @@
1+
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
2+
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
3+
4+
<header>
5+
<!-- ISE source project file created by Project Navigator. -->
6+
<!-- -->
7+
<!-- This file contains project source information including a list of -->
8+
<!-- project source files, project and process properties. This file, -->
9+
<!-- along with the project source files, is sufficient to open and -->
10+
<!-- implement in ISE Project Navigator. -->
11+
<!-- -->
12+
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
13+
</header>
14+
15+
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
16+
17+
<files>
18+
<file xil_pn:name="chipscope_icon.ngc" xil_pn:type="FILE_NGC">
19+
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
20+
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
21+
</file>
22+
<file xil_pn:name="chipscope_icon.vhd" xil_pn:type="FILE_VHDL">
23+
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
24+
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
25+
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
26+
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
27+
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
28+
</file>
29+
</files>
30+
31+
<properties>
32+
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
33+
<property xil_pn:name="DCI Update Mode" xil_pn:value="As Required" xil_pn:valueState="non-default"/>
34+
<property xil_pn:name="Device" xil_pn:value="xc6vlx130t" xil_pn:valueState="non-default"/>
35+
<property xil_pn:name="Device Family" xil_pn:value="Virtex6" xil_pn:valueState="non-default"/>
36+
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
37+
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
38+
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|chipscope_icon|chipscope_icon_a" xil_pn:valueState="non-default"/>
39+
<property xil_pn:name="Implementation Top File" xil_pn:value="chipscope_icon.vhd" xil_pn:valueState="non-default"/>
40+
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/chipscope_icon" xil_pn:valueState="non-default"/>
41+
<property xil_pn:name="Package" xil_pn:value="ff1156" xil_pn:valueState="non-default"/>
42+
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
43+
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
44+
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
45+
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
46+
<property xil_pn:name="Speed Grade" xil_pn:value="-1" xil_pn:valueState="non-default"/>
47+
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
48+
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
49+
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
50+
<!-- -->
51+
<!-- The following properties are for internal use only. These should not be modified.-->
52+
<!-- -->
53+
<property xil_pn:name="PROP_DesignName" xil_pn:value="chipscope_icon" xil_pn:valueState="non-default"/>
54+
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="virtex6" xil_pn:valueState="default"/>
55+
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2015-10-02T11:30:22" xil_pn:valueState="non-default"/>
56+
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="78E3AD2F47E34B6EA826ABCBA2DA73E9" xil_pn:valueState="non-default"/>
57+
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
58+
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
59+
</properties>
60+
61+
<bindings/>
62+
63+
<libraries/>
64+
65+
<autoManagedFiles>
66+
<!-- The following files are identified by `include statements in verilog -->
67+
<!-- source files and are automatically managed by Project Navigator. -->
68+
<!-- -->
69+
<!-- Do not hand-edit this section, as it will be overwritten when the -->
70+
<!-- project is analyzed based on files automatically identified as -->
71+
<!-- include files. -->
72+
</autoManagedFiles>
73+
74+
</project>
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,17 @@
1+
# Output products list for <chipscope_icon>
2+
_xmsgs\pn_parser.xmsgs
3+
chipscope_icon.asy
4+
chipscope_icon.constraints\chipscope_icon.ucf
5+
chipscope_icon.constraints\chipscope_icon.xdc
6+
chipscope_icon.gise
7+
chipscope_icon.ngc
8+
chipscope_icon.sym
9+
chipscope_icon.ucf
10+
chipscope_icon.vhd
11+
chipscope_icon.vho
12+
chipscope_icon.xco
13+
chipscope_icon.xdc
14+
chipscope_icon.xise
15+
chipscope_icon_flist.txt
16+
chipscope_icon_readme.txt
17+
chipscope_icon_xmdf.tcl

0 commit comments

Comments
 (0)