Skip to content

Commit 5fd3a5e

Browse files
committed
Update the Chisel version to 3.6.1
1 parent 64feb18 commit 5fd3a5e

File tree

3 files changed

+33
-9
lines changed

3 files changed

+33
-9
lines changed

README.md

Lines changed: 15 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,18 @@
11
# chisel-empty
22

3-
An almost empty chisel project as a starting point for hardware design.
3+
An almost empty chisel project (and adder) as a starting point for hardware design.
44

5-
See the `Makefile` for the hardware and test targets.
5+
To generate Verilog code for the adder execute:
6+
```bash
7+
make
8+
```
9+
10+
Run the tests with:
11+
```bash
12+
make test
13+
```
14+
15+
Cleanup the repository with:
16+
```bash
17+
make clean
18+
```

build.sbt

Lines changed: 17 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -1,11 +1,22 @@
1-
scalaVersion := "2.12.13"
2-
31
scalacOptions ++= Seq(
2+
"-deprecation",
43
"-feature",
4+
"-unchecked",
5+
// "-Xfatal-warnings",
56
"-language:reflectiveCalls",
67
)
78

8-
// Chisel 3.5
9-
addCompilerPlugin("edu.berkeley.cs" % "chisel3-plugin" % "3.5.6" cross CrossVersion.full)
10-
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.5.6"
11-
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "0.5.6"
9+
scalaVersion := "2.13.14"
10+
val chiselVersion = "3.6.1"
11+
addCompilerPlugin("edu.berkeley.cs" %% "chisel3-plugin" % chiselVersion cross CrossVersion.full)
12+
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % chiselVersion
13+
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "0.6.2"
14+
15+
16+
/*
17+
scalaVersion := "2.13.14"
18+
val chiselVersion = "6.5.0"
19+
addCompilerPlugin("org.chipsalliance" % "chisel-plugin" % chiselVersion cross CrossVersion.full)
20+
libraryDependencies += "org.chipsalliance" %% "chisel" % chiselVersion
21+
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "6.0.0"
22+
*/

src/main/scala/empty/Add.scala

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -8,7 +8,7 @@
88
package empty
99

1010
import chisel3._
11-
import chisel3.util._
11+
// import chisel3.util._
1212

1313
class Add extends Module {
1414
val io = IO(new Bundle {

0 commit comments

Comments
 (0)