From bf97480fc69cd26deda719770d2c742c51cbc0b8 Mon Sep 17 00:00:00 2001 From: Stephen Williams Date: Sun, 19 Jan 2025 19:32:45 -0800 Subject: [PATCH] ivtest: Reformat more tests to the now format Ongoing work to reformat (and in some cases fix) regresssion tests with the new format. Signed-off-by: Stephen Williams --- ivtest/gold/br1005.gold | 18 -------- ...1027a-fsv.gold => br1027a-vvp-stdout.gold} | 0 ivtest/gold/br1027a.gold | 2 - ...1027c-fsv.gold => br1027c-vvp-stdout.gold} | 0 ivtest/gold/br1027c.gold | 1 - ivtest/gold/br1027d-vvp-stdout.gold | 1 + ivtest/gold/br1027e-vvp-stdout.gold | 1 + ivtest/gold/br1027f-vvp-stdout.gold | 1 + .../gold/br_gh1182-v2001-iverilog-stderr.gold | 3 ++ ivtest/gold/br_gh1182.gold | 3 -- .../gold/br_gh567-v2001-iverilog-stderr.gold | 2 + ivtest/gold/br_gh567-v2001-vvp-stdout.gold | 5 +++ ivtest/gold/br_gh567.gold | 7 ---- ...heck_constant_1-v2001-iverilog-stderr.gold | 2 + ...eck_constant_10-v2001-iverilog-stderr.gold | 4 ++ ...eck_constant_11-v2001-iverilog-stderr.gold | 2 + ...eck_constant_12-v2001-iverilog-stderr.gold | 7 ++++ ...eck_constant_13-v2001-iverilog-stderr.gold | 7 ++++ ...eck_constant_14-v2001-iverilog-stderr.gold | 4 ++ ...eck_constant_15-v2001-iverilog-stderr.gold | 4 ++ ...eck_constant_16-v2001-iverilog-stderr.gold | 2 + ...eck_constant_17-v2001-iverilog-stderr.gold | 8 ++++ ...eck_constant_18-v2001-iverilog-stderr.gold | 7 ++++ ...eck_constant_19-v2001-iverilog-stderr.gold | 4 ++ ...heck_constant_2-v2001-iverilog-stderr.gold | 2 + ...eck_constant_20-v2001-iverilog-stderr.gold | 4 ++ ...heck_constant_3-v2001-iverilog-stderr.gold | 2 + ...heck_constant_4-v2001-iverilog-stderr.gold | 2 + ...heck_constant_5-v2001-iverilog-stderr.gold | 8 ++++ ...heck_constant_6-v2001-iverilog-stderr.gold | 8 ++++ ...heck_constant_7-v2001-iverilog-stderr.gold | 5 +++ ...heck_constant_8-v2001-iverilog-stderr.gold | 5 +++ ...heck_constant_9-v2001-iverilog-stderr.gold | 4 ++ .../gold/function4-v2001-iverilog-stderr.gold | 3 ++ ..._inout_ort_type-v2001-iverilog-stderr.gold | 2 + ivtest/ivltests/br1027b.v | 9 ---- ivtest/regress-fsv.list | 15 ------- ivtest/regress-ivl1.list | 5 --- ivtest/regress-sv.list | 4 -- ivtest/regress-vlg.list | 33 --------------- ivtest/regress-vlog95.list | 2 - ivtest/regress-vvp.list | 42 +++++++++++++++++++ ivtest/vvp_tests/array_lval_select3a.json | 5 +++ ivtest/vvp_tests/br1005.json | 5 +++ ivtest/vvp_tests/br1027.json | 4 ++ ivtest/vvp_tests/br1027a.json | 6 +++ ivtest/vvp_tests/br1027c.json | 6 +++ ivtest/vvp_tests/br1027d.json | 6 +++ ivtest/vvp_tests/br1027e.json | 6 +++ ivtest/vvp_tests/br1027f.json | 6 +++ ivtest/vvp_tests/br605a.json | 5 +++ ivtest/vvp_tests/br605b.json | 5 +++ ivtest/vvp_tests/br971.json | 5 +++ ivtest/vvp_tests/br_gh1182-v2001.json | 6 +++ ivtest/vvp_tests/br_gh1182.json | 5 +++ ivtest/vvp_tests/br_gh25a.json | 5 +++ ivtest/vvp_tests/br_gh25b.json | 5 +++ ivtest/vvp_tests/br_gh567-v2001.json | 6 +++ ivtest/vvp_tests/br_gh567.json | 5 +++ ivtest/vvp_tests/check_constant_1-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_10-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_11-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_12-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_13-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_14-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_15-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_16-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_17-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_18-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_19-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_2-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_20-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_3-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_3.json | 5 +++ ivtest/vvp_tests/check_constant_4-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_5-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_6-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_7-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_8-v2001.json | 6 +++ ivtest/vvp_tests/check_constant_9-v2001.json | 6 +++ ivtest/vvp_tests/function4-v2001.json | 6 +++ ivtest/vvp_tests/function4.json | 5 +++ .../module_inout_port_type-v2001.json | 6 +++ ivtest/vvp_tests/module_inout_port_type.json | 5 +++ 84 files changed, 389 insertions(+), 99 deletions(-) delete mode 100644 ivtest/gold/br1005.gold rename ivtest/gold/{br1027a-fsv.gold => br1027a-vvp-stdout.gold} (100%) delete mode 100644 ivtest/gold/br1027a.gold rename ivtest/gold/{br1027c-fsv.gold => br1027c-vvp-stdout.gold} (100%) delete mode 100644 ivtest/gold/br1027c.gold create mode 100644 ivtest/gold/br1027d-vvp-stdout.gold create mode 100644 ivtest/gold/br1027e-vvp-stdout.gold create mode 100644 ivtest/gold/br1027f-vvp-stdout.gold create mode 100644 ivtest/gold/br_gh1182-v2001-iverilog-stderr.gold delete mode 100644 ivtest/gold/br_gh1182.gold create mode 100644 ivtest/gold/br_gh567-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/br_gh567-v2001-vvp-stdout.gold delete mode 100644 ivtest/gold/br_gh567.gold create mode 100644 ivtest/gold/check_constant_1-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_10-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_11-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_12-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_13-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_14-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_15-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_16-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_17-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_18-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_19-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_2-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_20-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_3-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_4-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_5-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_6-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_7-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_8-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/check_constant_9-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/function4-v2001-iverilog-stderr.gold create mode 100644 ivtest/gold/module_inout_ort_type-v2001-iverilog-stderr.gold delete mode 100644 ivtest/ivltests/br1027b.v create mode 100644 ivtest/vvp_tests/array_lval_select3a.json create mode 100644 ivtest/vvp_tests/br1005.json create mode 100644 ivtest/vvp_tests/br1027.json create mode 100644 ivtest/vvp_tests/br1027a.json create mode 100644 ivtest/vvp_tests/br1027c.json create mode 100644 ivtest/vvp_tests/br1027d.json create mode 100644 ivtest/vvp_tests/br1027e.json create mode 100644 ivtest/vvp_tests/br1027f.json create mode 100644 ivtest/vvp_tests/br605a.json create mode 100644 ivtest/vvp_tests/br605b.json create mode 100644 ivtest/vvp_tests/br971.json create mode 100644 ivtest/vvp_tests/br_gh1182-v2001.json create mode 100644 ivtest/vvp_tests/br_gh1182.json create mode 100644 ivtest/vvp_tests/br_gh25a.json create mode 100644 ivtest/vvp_tests/br_gh25b.json create mode 100644 ivtest/vvp_tests/br_gh567-v2001.json create mode 100644 ivtest/vvp_tests/br_gh567.json create mode 100644 ivtest/vvp_tests/check_constant_1-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_10-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_11-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_12-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_13-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_14-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_15-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_16-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_17-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_18-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_19-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_2-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_20-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_3-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_3.json create mode 100644 ivtest/vvp_tests/check_constant_4-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_5-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_6-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_7-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_8-v2001.json create mode 100644 ivtest/vvp_tests/check_constant_9-v2001.json create mode 100644 ivtest/vvp_tests/function4-v2001.json create mode 100644 ivtest/vvp_tests/function4.json create mode 100644 ivtest/vvp_tests/module_inout_port_type-v2001.json create mode 100644 ivtest/vvp_tests/module_inout_port_type.json diff --git a/ivtest/gold/br1005.gold b/ivtest/gold/br1005.gold deleted file mode 100644 index e59c1def54..0000000000 --- a/ivtest/gold/br1005.gold +++ /dev/null @@ -1,18 +0,0 @@ -./ivltests/br1005.v:2: sorry: Queues inside classes are not yet supported. -./ivltests/br1005.v:15: error: Enable of unknown task ``a.q.push_back''. -./ivltests/br1005.v:16: error: Enable of unknown task ``a.q.push_back''. -./ivltests/br1005.v:17: error: Enable of unknown task ``a.q.push_back''. -./ivltests/br1005.v:18: error: Enable of unknown task ``a.q.push_back''. -./ivltests/br1005.v:19: sorry: Method name nesting is not supported yet. -./ivltests/br1005.v:19: : method path: q.pop_front -./ivltests/br1005.v:19: error: Object test.a has no method "q.pop_front(...)". -./ivltests/br1005.v:22: sorry: Method name nesting is not supported yet. -./ivltests/br1005.v:22: : method path: q.pop_front -./ivltests/br1005.v:22: error: Object test.a has no method "q.pop_front(...)". -./ivltests/br1005.v:25: sorry: Method name nesting is not supported yet. -./ivltests/br1005.v:25: : method path: q.pop_front -./ivltests/br1005.v:25: error: Object test.a has no method "q.pop_front(...)". -./ivltests/br1005.v:28: sorry: Method name nesting is not supported yet. -./ivltests/br1005.v:28: : method path: q.pop_front -./ivltests/br1005.v:28: error: Object test.a has no method "q.pop_front(...)". -9 error(s) during elaboration. diff --git a/ivtest/gold/br1027a-fsv.gold b/ivtest/gold/br1027a-vvp-stdout.gold similarity index 100% rename from ivtest/gold/br1027a-fsv.gold rename to ivtest/gold/br1027a-vvp-stdout.gold diff --git a/ivtest/gold/br1027a.gold b/ivtest/gold/br1027a.gold deleted file mode 100644 index f36904c5c1..0000000000 --- a/ivtest/gold/br1027a.gold +++ /dev/null @@ -1,2 +0,0 @@ -./ivltests/br1027a.v:1: error: Missing task/function port direction. -./ivltests/br1027a.v:1: error: Missing task/function port direction. diff --git a/ivtest/gold/br1027c-fsv.gold b/ivtest/gold/br1027c-vvp-stdout.gold similarity index 100% rename from ivtest/gold/br1027c-fsv.gold rename to ivtest/gold/br1027c-vvp-stdout.gold diff --git a/ivtest/gold/br1027c.gold b/ivtest/gold/br1027c.gold deleted file mode 100644 index 8a77a84d72..0000000000 --- a/ivtest/gold/br1027c.gold +++ /dev/null @@ -1 +0,0 @@ -./ivltests/br1027c.v:1: error: Missing task/function port direction. diff --git a/ivtest/gold/br1027d-vvp-stdout.gold b/ivtest/gold/br1027d-vvp-stdout.gold new file mode 100644 index 0000000000..2833e3911a --- /dev/null +++ b/ivtest/gold/br1027d-vvp-stdout.gold @@ -0,0 +1 @@ + 0 1 diff --git a/ivtest/gold/br1027e-vvp-stdout.gold b/ivtest/gold/br1027e-vvp-stdout.gold new file mode 100644 index 0000000000..2833e3911a --- /dev/null +++ b/ivtest/gold/br1027e-vvp-stdout.gold @@ -0,0 +1 @@ + 0 1 diff --git a/ivtest/gold/br1027f-vvp-stdout.gold b/ivtest/gold/br1027f-vvp-stdout.gold new file mode 100644 index 0000000000..2833e3911a --- /dev/null +++ b/ivtest/gold/br1027f-vvp-stdout.gold @@ -0,0 +1 @@ + 0 1 diff --git a/ivtest/gold/br_gh1182-v2001-iverilog-stderr.gold b/ivtest/gold/br_gh1182-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..13d7ee2cec --- /dev/null +++ b/ivtest/gold/br_gh1182-v2001-iverilog-stderr.gold @@ -0,0 +1,3 @@ +ivltests/br_gh1182.v:3: error: Variable declaration in unnamed block requires SystemVerilog. +ivltests/br_gh1182.v:4: error: Variable declaration in unnamed block requires SystemVerilog. +ivltests/br_gh1182.v:8: error: Variable declaration in unnamed block requires SystemVerilog. diff --git a/ivtest/gold/br_gh1182.gold b/ivtest/gold/br_gh1182.gold deleted file mode 100644 index 3b1b64ace6..0000000000 --- a/ivtest/gold/br_gh1182.gold +++ /dev/null @@ -1,3 +0,0 @@ -./ivltests/br_gh1182.v:3: error: Variable declaration in unnamed block requires SystemVerilog. -./ivltests/br_gh1182.v:4: error: Variable declaration in unnamed block requires SystemVerilog. -./ivltests/br_gh1182.v:8: error: Variable declaration in unnamed block requires SystemVerilog. diff --git a/ivtest/gold/br_gh567-v2001-iverilog-stderr.gold b/ivtest/gold/br_gh567-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..3e0ea22711 --- /dev/null +++ b/ivtest/gold/br_gh567-v2001-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/br_gh567.v:7: warning: A negative value (-1) has been assigned to genvar 'i'. +ivltests/br_gh567.v:7: : This is illegal in Verilog-2001. Use at least -g2005 to remove this warning. diff --git a/ivtest/gold/br_gh567-v2001-vvp-stdout.gold b/ivtest/gold/br_gh567-v2001-vvp-stdout.gold new file mode 100644 index 0000000000..d0eba8866d --- /dev/null +++ b/ivtest/gold/br_gh567-v2001-vvp-stdout.gold @@ -0,0 +1,5 @@ + 3 + 2 + 1 + 0 +PASSED diff --git a/ivtest/gold/br_gh567.gold b/ivtest/gold/br_gh567.gold deleted file mode 100644 index 029e18e58a..0000000000 --- a/ivtest/gold/br_gh567.gold +++ /dev/null @@ -1,7 +0,0 @@ -./ivltests/br_gh567.v:7: warning: A negative value (-1) has been assigned to genvar 'i'. -./ivltests/br_gh567.v:7: : This is illegal in Verilog-2001. Use at least -g2005 to remove this warning. - 3 - 2 - 1 - 0 -PASSED diff --git a/ivtest/gold/check_constant_1-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_1-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..3d5a8db870 --- /dev/null +++ b/ivtest/gold/check_constant_1-v2001-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/check_constant_1.v:5: error: A reference to a net or variable (`Value1') is not allowed in a constant expression. +1 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_10-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_10-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..d4df8ff13a --- /dev/null +++ b/ivtest/gold/check_constant_10-v2001-iverilog-stderr.gold @@ -0,0 +1,4 @@ +ivltests/check_constant_10.v:7: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_10.v:7: error: Dimensions must be constant. +ivltests/check_constant_10.v:7 : This MSB expression violates the rule: N +2 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_11-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_11-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..9540e45635 --- /dev/null +++ b/ivtest/gold/check_constant_11-v2001-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/check_constant_11.v:9: error: A reference to a net or variable (`N') is not allowed in a constant expression. +1 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_12-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_12-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..e66d51f154 --- /dev/null +++ b/ivtest/gold/check_constant_12-v2001-iverilog-stderr.gold @@ -0,0 +1,7 @@ +ivltests/check_constant_12.v:10: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_12.v:10: error: Part select expressions must be constant integral values. +ivltests/check_constant_12.v:10: : The lsb expression violates that rule: N +ivltests/check_constant_12.v:10: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_12.v:10: error: Part select expressions must be constant integral values. +ivltests/check_constant_12.v:10: : The lsb expression violates that rule: N +4 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_13-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_13-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..6bf01982a7 --- /dev/null +++ b/ivtest/gold/check_constant_13-v2001-iverilog-stderr.gold @@ -0,0 +1,7 @@ +ivltests/check_constant_13.v:10: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_13.v:10: error: Part select expressions must be constant integral values. +ivltests/check_constant_13.v:10: : The msb expression violates that rule: N +ivltests/check_constant_13.v:10: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_13.v:10: error: Part select expressions must be constant integral values. +ivltests/check_constant_13.v:10: : The msb expression violates that rule: N +4 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_14-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_14-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..0890ae4694 --- /dev/null +++ b/ivtest/gold/check_constant_14-v2001-iverilog-stderr.gold @@ -0,0 +1,4 @@ +ivltests/check_constant_14.v:10: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_14.v:10: error: Part select expressions must be constant integral values. +ivltests/check_constant_14.v:10: : The lsb expression violates that rule: N +2 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_15-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_15-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..6967413396 --- /dev/null +++ b/ivtest/gold/check_constant_15-v2001-iverilog-stderr.gold @@ -0,0 +1,4 @@ +ivltests/check_constant_15.v:10: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_15.v:10: error: Part select expressions must be constant integral values. +ivltests/check_constant_15.v:10: : The msb expression violates that rule: N +2 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_16-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_16-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..ca5b0c49c0 --- /dev/null +++ b/ivtest/gold/check_constant_16-v2001-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/check_constant_16.v:10: error: A reference to a net or variable (`N') is not allowed in a constant expression. +1 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_17-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_17-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..6d5987d04c --- /dev/null +++ b/ivtest/gold/check_constant_17-v2001-iverilog-stderr.gold @@ -0,0 +1,8 @@ +ivltests/check_constant_17.v:9: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_17.v:14: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_17.v:14: error: Part select expressions must be constant integral values. +ivltests/check_constant_17.v:14: : The lsb expression violates that rule: N +ivltests/check_constant_17.v:14: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_17.v:14: error: Part select expressions must be constant integral values. +ivltests/check_constant_17.v:14: : The lsb expression violates that rule: N +5 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_18-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_18-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..856533abf3 --- /dev/null +++ b/ivtest/gold/check_constant_18-v2001-iverilog-stderr.gold @@ -0,0 +1,7 @@ +ivltests/check_constant_18.v:14: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_18.v:14: error: Part select expressions must be constant integral values. +ivltests/check_constant_18.v:14: : The msb expression violates that rule: N +ivltests/check_constant_18.v:14: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_18.v:14: error: Part select expressions must be constant integral values. +ivltests/check_constant_18.v:14: : The msb expression violates that rule: N +4 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_19-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_19-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..7da32663a7 --- /dev/null +++ b/ivtest/gold/check_constant_19-v2001-iverilog-stderr.gold @@ -0,0 +1,4 @@ +ivltests/check_constant_19.v:14: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_19.v:14: error: Part select expressions must be constant integral values. +ivltests/check_constant_19.v:14: : The lsb expression violates that rule: N +2 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_2-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_2-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..ed3a6ac370 --- /dev/null +++ b/ivtest/gold/check_constant_2-v2001-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/check_constant_2.v:13: error: A reference to a net or variable (`Value2') is not allowed in a constant expression. +1 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_20-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_20-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..abe494a7a6 --- /dev/null +++ b/ivtest/gold/check_constant_20-v2001-iverilog-stderr.gold @@ -0,0 +1,4 @@ +ivltests/check_constant_20.v:14: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_20.v:14: error: Part select expressions must be constant integral values. +ivltests/check_constant_20.v:14: : The msb expression violates that rule: N +2 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_3-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_3-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..6b54abc785 --- /dev/null +++ b/ivtest/gold/check_constant_3-v2001-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/check_constant_3.v:5: error: A reference to a net or variable (`Value1') is not allowed in a constant expression. +Elaboration failed diff --git a/ivtest/gold/check_constant_4-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_4-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..3a657e25db --- /dev/null +++ b/ivtest/gold/check_constant_4-v2001-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/check_constant_4.v:5: error: A reference to a net or variable (`N') is not allowed in a constant expression. +1 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_5-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_5-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..8f8fe85172 --- /dev/null +++ b/ivtest/gold/check_constant_5-v2001-iverilog-stderr.gold @@ -0,0 +1,8 @@ +ivltests/check_constant_5.v:3: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_5.v:3: error: Dimensions must be constant. +ivltests/check_constant_5.v:3 : This LSB expression violates the rule: N +ivltests/check_constant_5.v:9: error: can not select part of scalar: In +ivltests/check_constant_5.v:9: error: Unable to elaborate r-value: In['sd0] +ivltests/check_constant_5.v:10: error: can not select part of scalar: In +ivltests/check_constant_5.v:10: error: Unable to elaborate r-value: In['sd7:'sd1] +6 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_6-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_6-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..5e8223e74f --- /dev/null +++ b/ivtest/gold/check_constant_6-v2001-iverilog-stderr.gold @@ -0,0 +1,8 @@ +ivltests/check_constant_6.v:3: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_6.v:3: error: Dimensions must be constant. +ivltests/check_constant_6.v:3 : This MSB expression violates the rule: N +ivltests/check_constant_6.v:9: error: can not select part of scalar: In +ivltests/check_constant_6.v:9: error: Unable to elaborate r-value: In['sd0] +ivltests/check_constant_6.v:10: error: can not select part of scalar: In +ivltests/check_constant_6.v:10: error: Unable to elaborate r-value: In['sd7:'sd1] +6 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_7-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_7-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..ecb891762a --- /dev/null +++ b/ivtest/gold/check_constant_7-v2001-iverilog-stderr.gold @@ -0,0 +1,5 @@ +ivltests/check_constant_7.v:7: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_7.v:7: error: Dimensions must be constant. +ivltests/check_constant_7.v:7 : This LSB expression violates the rule: N +ivltests/check_constant_7.v:10: warning: Part select Array[][7:1] is out of range. +2 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_8-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_8-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..0a03505995 --- /dev/null +++ b/ivtest/gold/check_constant_8-v2001-iverilog-stderr.gold @@ -0,0 +1,5 @@ +ivltests/check_constant_8.v:7: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_8.v:7: error: Dimensions must be constant. +ivltests/check_constant_8.v:7 : This MSB expression violates the rule: N +ivltests/check_constant_8.v:10: warning: Part select Array[][7:1] is out of range. +2 error(s) during elaboration. diff --git a/ivtest/gold/check_constant_9-v2001-iverilog-stderr.gold b/ivtest/gold/check_constant_9-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..5d216da28e --- /dev/null +++ b/ivtest/gold/check_constant_9-v2001-iverilog-stderr.gold @@ -0,0 +1,4 @@ +ivltests/check_constant_9.v:7: error: A reference to a net or variable (`N') is not allowed in a constant expression. +ivltests/check_constant_9.v:7: error: Dimensions must be constant. +ivltests/check_constant_9.v:7 : This LSB expression violates the rule: N +2 error(s) during elaboration. diff --git a/ivtest/gold/function4-v2001-iverilog-stderr.gold b/ivtest/gold/function4-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..e79d235801 --- /dev/null +++ b/ivtest/gold/function4-v2001-iverilog-stderr.gold @@ -0,0 +1,3 @@ +ivltests/function4.v:29: error: Function test has no ports. +ivltests/function4.v:29: : Functions must have at least one input port. +1 error(s) during elaboration. diff --git a/ivtest/gold/module_inout_ort_type-v2001-iverilog-stderr.gold b/ivtest/gold/module_inout_ort_type-v2001-iverilog-stderr.gold new file mode 100644 index 0000000000..5e2a793c79 --- /dev/null +++ b/ivtest/gold/module_inout_ort_type-v2001-iverilog-stderr.gold @@ -0,0 +1,2 @@ +ivltests/module_inout_port_type.v:6: error: Net data type requires SystemVerilog. +ivltests/module_inout_port_type.v:7: error: Net data type requires SystemVerilog. diff --git a/ivtest/ivltests/br1027b.v b/ivtest/ivltests/br1027b.v deleted file mode 100644 index 8a586a42aa..0000000000 --- a/ivtest/ivltests/br1027b.v +++ /dev/null @@ -1,9 +0,0 @@ -module test(); - -task t(a, b); - $display(a,,b); -endtask - -initial t(0, 1); - -endmodule diff --git a/ivtest/regress-fsv.list b/ivtest/regress-fsv.list index 55de4b8a61..187a647e4b 100644 --- a/ivtest/regress-fsv.list +++ b/ivtest/regress-fsv.list @@ -68,16 +68,6 @@ # # Some constructs/usage are not errors in SystemVerilog -br1027a normal ivltests gold=br1027a-fsv.gold -br1027c normal ivltests gold=br1027c-fsv.gold -br1027e normal ivltests gold=br1027e-fsv.gold -br_gh25a normal ivltests -br_gh25b normal ivltests -br_gh567 normal ivltests -br_gh1182 normal ivltests -check_constant_3 normal ivltests -function4 normal ivltests -module_inout_port_type normal ivltests module_input_port_list_def normal ivltests module_input_port_type normal ivltests parameter_in_generate1 normal ivltests @@ -107,11 +97,6 @@ pr2590274b normal ivltests gold=pr2590274-fsv.gold pr2590274c normal,-gspecify ivltests gold=pr2590274-fsv.gold # These are not supported in Icarus, but are valid SystemVerilog -array_lval_select3a NI ivltests -br605a NI ivltests -br605b NI ivltests -br971 NI ivltests -br1005 NI ivltests br1015a NI ivltests br1015b NI ivltests br_ml20150315b NI ivltests diff --git a/ivtest/regress-ivl1.list b/ivtest/regress-ivl1.list index af0a5b3e59..707268bfbb 100644 --- a/ivtest/regress-ivl1.list +++ b/ivtest/regress-ivl1.list @@ -270,11 +270,6 @@ automatic_error4 CE ivltests # These are not currently supported in Icarus # Also update the regress-fsv.list since it has these marked as normal -array_lval_select3a CE ivltests -br605a EF ivltests -br605b EF ivltests -br971 EF ivltests -br1005 CE,-g2009 ivltests br1015b CE,-g2009 ivltests br_ml20150315b CE,-g2009 ivltests sv_deferred_assert1 CE,-g2009 ivltests gold=sv_deferred_assert1.gold diff --git a/ivtest/regress-sv.list b/ivtest/regress-sv.list index a4003f37a4..83ba6013cc 100644 --- a/ivtest/regress-sv.list +++ b/ivtest/regress-sv.list @@ -137,12 +137,8 @@ br1003b normal,-g2009 ivltests gold=br1003b.gold br1003c normal,-g2009 ivltests gold=br1003c.gold br1003d normal,-g2009 ivltests gold=br1003d.gold br1004 normal,-g2009 ivltests -br1005 normal,-g2009 ivltests gold=br1005.gold br1015b normal,-g2009 ivltests br1025 normal,-g2009 ivltests -br1027b normal,-g2009 ivltests gold=br1027b.gold -br1027d normal,-g2009 ivltests gold=br1027d.gold -br1027f normal,-g2009 ivltests gold=br1027f.gold br_gh4 normal,-g2009 ivltests br_gh4a normal,-g2009 ivltests br_gh72a normal,-g2009 ivltests gold=br_gh72a.gold diff --git a/ivtest/regress-vlg.list b/ivtest/regress-vlg.list index f943bfabd2..2a7fcf54f4 100644 --- a/ivtest/regress-vlg.list +++ b/ivtest/regress-vlg.list @@ -204,8 +204,6 @@ bitwidth2 normal ivltests blankport normal ivltests main # PR 204 Stephan Boettcher - block_only_with_var_def normal ivltests bnot normal ivltests # test triggered an overzealous assert in vvm -br605a normal ivltests -br605b normal ivltests br916a normal ivltests gold=br916a.gold br916b normal ivltests gold=br916b.gold br918a normal ivltests @@ -231,7 +229,6 @@ br961a CE ivltests br965 normal ivltests br967 normal ivltests br968 normal ivltests -br971 normal ivltests br972 normal ivltests br977 normal ivltests br982 CE ivltests @@ -248,10 +245,6 @@ br1007 normal,-Wselect-range ivltests gold=br1007.gold br1008 normal ivltests gold=br1008.gold br1015a CE ivltests br1019 normal ivltests -br1027 normal ivltests -br1027a CE ivltests gold=br1027a.gold -br1027c CE ivltests gold=br1027c.gold -br1027e CE ivltests gold=br1027e.gold br1029a normal ivltests gold=br1029a.gold br1029b normal ivltests gold=br1029a.gold br1029c CE ivltests gold=br1029c.gold @@ -269,8 +262,6 @@ br_gh19 normal ivltests br_gh19a normal ivltests br_gh19b normal ivltests br_gh22 normal ivltests -br_gh25a CE ivltests -br_gh25b CE ivltests br_gh26 CE ivltests br_gh28 normal ivltests br_gh30 normal ivltests @@ -338,7 +329,6 @@ br_gh508b normal ivltests br_gh515 normal ivltests br_gh531 normal ivltests gold=br_gh531.gold br_gh533 CE ivltests -br_gh567 normal,-g2001 ivltests gold=br_gh567.gold br_gh621 normal ivltests br_gh632 normal ivltests br_gh632b normal,-S ivltests @@ -363,7 +353,6 @@ br_gh1175f CE ivltests gold=br_gh1175f.gold br_gh1178a CE ivltests gold=br_gh1178a.gold br_gh1178b normal ivltests br_gh1178c normal ivltests -br_gh1182 CE ivltests gold=br_gh1182.gold br_ml20150315 normal ivltests gold=br_ml_20150315.gold br_ml20150321 CE ivltests br_mw20171108 normal ivltests @@ -394,26 +383,6 @@ casez3.10C normal ivltests casez3.10D normal ivltests casez3.10E normal ivltests # Use ? and z in label cast_int normal ivltests -check_constant_1 CE ivltests -check_constant_2 CE ivltests -check_constant_3 CE,-g1995 ivltests -check_constant_4 CE ivltests -check_constant_5 CE ivltests -check_constant_6 CE ivltests -check_constant_7 CE ivltests -check_constant_8 CE ivltests -check_constant_9 CE ivltests -check_constant_10 CE ivltests -check_constant_11 CE ivltests -check_constant_12 CE ivltests -check_constant_13 CE ivltests -check_constant_14 CE ivltests -check_constant_15 CE ivltests -check_constant_16 CE ivltests -check_constant_17 CE ivltests -check_constant_18 CE ivltests -check_constant_19 CE ivltests -check_constant_20 CE ivltests cmos normal ivltests gold=cmos.gold comp1000 normal ivltests gold=comp1000.gold comp1001 normal ivltests gold=comp1001.gold @@ -561,7 +530,6 @@ function3.11B normal ivltests function3.11C normal ivltests function3.11D normal ivltests function3.11F normal ivltests -function4 CE ivltests # Functions must have at least one argument function5 CO ivltests # PR 184 function6 normal ivltests function7 normal ivltests @@ -667,7 +635,6 @@ modparam normal ivltests top # Override parameter via passed down value module3.12A normal ivltests main module3.12B normal ivltests module_inout_port_list_def CE ivltests # inout ports do not support default values -module_inout_port_type CE ivltests module_input_port_list_def CE ivltests # input ports only support default values in SV module_input_port_type CE ivltests module_nonansi_fail1 CE ivltests diff --git a/ivtest/regress-vlog95.list b/ivtest/regress-vlog95.list index 26ea7a5e6a..a3b7292ad1 100644 --- a/ivtest/regress-vlog95.list +++ b/ivtest/regress-vlog95.list @@ -193,7 +193,6 @@ sf_onehot0 RE,-g2005-sv ivltests # IEEE 1364-1995 only supports register arrays. array_lval_select1 normal,-DVLOG95 ivltests array_lval_select2 normal,-DVLOG95 ivltests -array_lval_select3a TE,-DVLOG95 ivltests array_lval_select3b CE,-DVLOG95 ivltests array_lval_select3c normal,-DVLOG95 ivltests array_select CE,-pallowsigned=1 ivltests @@ -625,7 +624,6 @@ analog2 CE,-gverilog-ams ivltests br955 CE ivltests br988 CE ivltests br_gh345 CE ivltests -br_gh567 CE,-g2001,-pallowsigned=1 ivltests br_gh568 CE,-g2009,-pallowsigned=1 ivltests br_gh621 CE ivltests # Also automatic tasks complex_lidx CE ivltests diff --git a/ivtest/regress-vvp.list b/ivtest/regress-vvp.list index ad5bb23171..fa9839d0db 100644 --- a/ivtest/regress-vvp.list +++ b/ivtest/regress-vvp.list @@ -6,6 +6,7 @@ always4A vvp_tests/always4A.json always4B vvp_tests/always4B.json analog1 vvp_tests/analog1.json analog2 vvp_tests/analog2.json +array_lval_select3a vvp_tests/array_lval_select3a.json array_packed_sysfunct vvp_tests/array_packed_sysfunct.json array_packed_value_list vvp_tests/array_packed_value_list.json array_packed_write_read vvp_tests/array_packed_write_read.json @@ -15,8 +16,20 @@ automatic_error12 vvp_tests/automatic_error12.json automatic_error13 vvp_tests/automatic_error13.json bits4 vvp_tests/bits4.json bitsel11 vvp_tests/bitsel11.json +br605a vvp_tests/br605a.json +br605b vvp_tests/br605b.json +br971 vvp_tests/br971.json +br1005 vvp_tests/br1005.json +br1027 vvp_tests/br1027.json +br1027a vvp_tests/br1027a.json +br1027c vvp_tests/br1027c.json +br1027d vvp_tests/br1027d.json +br1027e vvp_tests/br1027e.json +br1027f vvp_tests/br1027f.json br_gh13a vvp_tests/br_gh13a.json br_gh13a-vlog95 vvp_tests/br_gh13a-vlog95.json +br_gh25a vvp_tests/br_gh25a.json +br_gh25a vvp_tests/br_gh25a.json br_gh99c vvp_tests/br_gh99c.json br_gh99c-vlog95 vvp_tests/br_gh99c-vlog95.json br_gh230 vvp_tests/br_gh230.json @@ -28,6 +41,8 @@ br_gh440 vvp_tests/br_gh440.json br_gh483a vvp_tests/br_gh483a.json br_gh483b vvp_tests/br_gh483b.json br_gh552 vvp_tests/br_gh552.json +br_gh567 vvp_tests/br_gh567.json +br_gh567-v2001 vvp_tests/br_gh567-v2001.json br_gh687 vvp_tests/br_gh687.json br_gh703 vvp_tests/br_gh703.json br_gh710a vvp_tests/br_gh710a.json @@ -63,6 +78,8 @@ br_gh1163 vvp_tests/br_gh1163.json br_gh1180a vvp_tests/br_gh1180a.json br_gh1180b vvp_tests/br_gh1180b.json br_gh1181 vvp_tests/br_gh1181.json +br_gh1182 vvp_tests/br_gh1182.json +br_gh1182-v2001 vvp_tests/br_gh1182-v2001.json br_gh1184 vvp_tests/br_gh1184.json ca_time_real` vvp_tests/ca_time_real.json case1 vvp_tests/case1.json @@ -76,6 +93,27 @@ cast_real_invalid1 vvp_tests/cast_real_invalid1.json cast_real_invalid2 vvp_tests/cast_real_invalid2.json cast_real_invalid3 vvp_tests/cast_real_invalid3.json cast_real_invalid4 vvp_tests/cast_real_invalid4.json +check_constant_1-v2001 vvp_tests/check_constant_1-v2001.json +check_constant_2-v2001 vvp_tests/check_constant_2-v2001.json +check_constant_3 vvp_tests/check_constant_3.json +check_constant_3-v2001 vvp_tests/check_constant_3-v2001.json +check_constant_4-v2001 vvp_tests/check_constant_4-v2001.json +check_constant_5-v2001 vvp_tests/check_constant_5-v2001.json +check_constant_6-v2001 vvp_tests/check_constant_6-v2001.json +check_constant_7-v2001 vvp_tests/check_constant_7-v2001.json +check_constant_8-v2001 vvp_tests/check_constant_8-v2001.json +check_constant_9-v2001 vvp_tests/check_constant_9-v2001.json +check_constant_10-v2001 vvp_tests/check_constant_10-v2001.json +check_constant_11-v2001 vvp_tests/check_constant_11-v2001.json +check_constant_12-v2001 vvp_tests/check_constant_12-v2001.json +check_constant_13-v2001 vvp_tests/check_constant_13-v2001.json +check_constant_14-v2001 vvp_tests/check_constant_14-v2001.json +check_constant_15-v2001 vvp_tests/check_constant_15-v2001.json +check_constant_16-v2001 vvp_tests/check_constant_16-v2001.json +check_constant_17-v2001 vvp_tests/check_constant_17-v2001.json +check_constant_18-v2001 vvp_tests/check_constant_18-v2001.json +check_constant_19-v2001 vvp_tests/check_constant_19-v2001.json +check_constant_20-v2001 vvp_tests/check_constant_20-v2001.json comment1 vvp_tests/comment1.json constfunc4_ams vvp_tests/constfunc4_ams.json constfunc4_ams-vlog95 vvp_tests/constfunc4_ams-vlog95.json @@ -116,6 +154,8 @@ eofmt_percent vvp_tests/eofmt_percent.json eofmt_percent-vlog95 vvp_tests/eofmt_percent-vlog95.json fdisplay3 vvp_tests/fdisplay3.json final3 vvp_tests/final3.json +function4 vvp_tests/function4.json +function4-v2001 vvp_tests/function4-v2001.json fread-error vvp_tests/fread-error.json line_directive vvp_tests/line_directive.json localparam_type vvp_tests/localparam_type.json @@ -138,6 +178,8 @@ memsynth9 vvp_tests/memsynth9.json memsynth9-synth vvp_tests/memsynth9-synth.json mix_reset vvp_tests/mix_reset.json mix_reset-synth vvp_tests/mix_reset-synth.json +module_inout_port_type vvp_tests/module_inout_port_type.json +module_inout_port_type-v2001 vvp_tests/module_inout_port_type-v2001.json module_ordered_list1 vvp_tests/module_ordered_list1.json module_ordered_list2 vvp_tests/module_ordered_list2.json module_port_array1 vvp_tests/module_port_array1.json diff --git a/ivtest/vvp_tests/array_lval_select3a.json b/ivtest/vvp_tests/array_lval_select3a.json new file mode 100644 index 0000000000..ae59556e8c --- /dev/null +++ b/ivtest/vvp_tests/array_lval_select3a.json @@ -0,0 +1,5 @@ +{ + "type" : "NI", + "source" : "array_lval_select3a.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/br1005.json b/ivtest/vvp_tests/br1005.json new file mode 100644 index 0000000000..d742366849 --- /dev/null +++ b/ivtest/vvp_tests/br1005.json @@ -0,0 +1,5 @@ +{ + "type" : "NI", + "source" : "br1005.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/br1027.json b/ivtest/vvp_tests/br1027.json new file mode 100644 index 0000000000..1314aa87bb --- /dev/null +++ b/ivtest/vvp_tests/br1027.json @@ -0,0 +1,4 @@ +{ + "type" : "normal", + "source" : "br1027.v" +} diff --git a/ivtest/vvp_tests/br1027a.json b/ivtest/vvp_tests/br1027a.json new file mode 100644 index 0000000000..e90a1facc6 --- /dev/null +++ b/ivtest/vvp_tests/br1027a.json @@ -0,0 +1,6 @@ +{ + "type" : "normal", + "source" : "br1027a.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "br1027a" +} diff --git a/ivtest/vvp_tests/br1027c.json b/ivtest/vvp_tests/br1027c.json new file mode 100644 index 0000000000..89fbb33803 --- /dev/null +++ b/ivtest/vvp_tests/br1027c.json @@ -0,0 +1,6 @@ +{ + "type" : "normal", + "source" : "br1027c.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "br1027c" +} diff --git a/ivtest/vvp_tests/br1027d.json b/ivtest/vvp_tests/br1027d.json new file mode 100644 index 0000000000..cf05dce4b8 --- /dev/null +++ b/ivtest/vvp_tests/br1027d.json @@ -0,0 +1,6 @@ +{ + "type" : "normal", + "source" : "br1027d.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "br1027d" +} diff --git a/ivtest/vvp_tests/br1027e.json b/ivtest/vvp_tests/br1027e.json new file mode 100644 index 0000000000..904b7adbaa --- /dev/null +++ b/ivtest/vvp_tests/br1027e.json @@ -0,0 +1,6 @@ +{ + "type" : "normal", + "source" : "br1027e.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "br1027e" +} diff --git a/ivtest/vvp_tests/br1027f.json b/ivtest/vvp_tests/br1027f.json new file mode 100644 index 0000000000..b41a6d3813 --- /dev/null +++ b/ivtest/vvp_tests/br1027f.json @@ -0,0 +1,6 @@ +{ + "type" : "normal", + "source" : "br1027f.v", + "iverilog-args" : [ "-g2009" ], + "gold" : "br1027f" +} diff --git a/ivtest/vvp_tests/br605a.json b/ivtest/vvp_tests/br605a.json new file mode 100644 index 0000000000..cf71772690 --- /dev/null +++ b/ivtest/vvp_tests/br605a.json @@ -0,0 +1,5 @@ +{ + "type" : "NI", + "source" : "br605a.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/br605b.json b/ivtest/vvp_tests/br605b.json new file mode 100644 index 0000000000..441e9e8880 --- /dev/null +++ b/ivtest/vvp_tests/br605b.json @@ -0,0 +1,5 @@ +{ + "type" : "NI", + "source" : "br605b.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/br971.json b/ivtest/vvp_tests/br971.json new file mode 100644 index 0000000000..e4c586741d --- /dev/null +++ b/ivtest/vvp_tests/br971.json @@ -0,0 +1,5 @@ +{ + "type" : "NI", + "source" : "br971.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/br_gh1182-v2001.json b/ivtest/vvp_tests/br_gh1182-v2001.json new file mode 100644 index 0000000000..f095256071 --- /dev/null +++ b/ivtest/vvp_tests/br_gh1182-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "br_gh1182.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "br_gh1182-v2001" +} diff --git a/ivtest/vvp_tests/br_gh1182.json b/ivtest/vvp_tests/br_gh1182.json new file mode 100644 index 0000000000..325162191a --- /dev/null +++ b/ivtest/vvp_tests/br_gh1182.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "br_gh1182.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/br_gh25a.json b/ivtest/vvp_tests/br_gh25a.json new file mode 100644 index 0000000000..973d8bcc60 --- /dev/null +++ b/ivtest/vvp_tests/br_gh25a.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "br_gh25a.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/br_gh25b.json b/ivtest/vvp_tests/br_gh25b.json new file mode 100644 index 0000000000..879bfb287d --- /dev/null +++ b/ivtest/vvp_tests/br_gh25b.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "br_gh25b.v", + "iverilog-args" : [ "-g2009" } +} diff --git a/ivtest/vvp_tests/br_gh567-v2001.json b/ivtest/vvp_tests/br_gh567-v2001.json new file mode 100644 index 0000000000..d6c6aa5ce6 --- /dev/null +++ b/ivtest/vvp_tests/br_gh567-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "normal", + "source" : "br_gh567.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "br_gh567-v2001" +} diff --git a/ivtest/vvp_tests/br_gh567.json b/ivtest/vvp_tests/br_gh567.json new file mode 100644 index 0000000000..b851e40cf2 --- /dev/null +++ b/ivtest/vvp_tests/br_gh567.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "br_gh567.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/check_constant_1-v2001.json b/ivtest/vvp_tests/check_constant_1-v2001.json new file mode 100644 index 0000000000..382835fa6c --- /dev/null +++ b/ivtest/vvp_tests/check_constant_1-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_1.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_1-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_10-v2001.json b/ivtest/vvp_tests/check_constant_10-v2001.json new file mode 100644 index 0000000000..255b009ceb --- /dev/null +++ b/ivtest/vvp_tests/check_constant_10-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_10.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_10-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_11-v2001.json b/ivtest/vvp_tests/check_constant_11-v2001.json new file mode 100644 index 0000000000..c743057f81 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_11-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_11.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_11-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_12-v2001.json b/ivtest/vvp_tests/check_constant_12-v2001.json new file mode 100644 index 0000000000..a8251d4613 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_12-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_12.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_12-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_13-v2001.json b/ivtest/vvp_tests/check_constant_13-v2001.json new file mode 100644 index 0000000000..563ce9199b --- /dev/null +++ b/ivtest/vvp_tests/check_constant_13-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_13.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_13-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_14-v2001.json b/ivtest/vvp_tests/check_constant_14-v2001.json new file mode 100644 index 0000000000..71ae74dba4 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_14-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_14.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_14-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_15-v2001.json b/ivtest/vvp_tests/check_constant_15-v2001.json new file mode 100644 index 0000000000..05b5566425 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_15-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_15.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_15-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_16-v2001.json b/ivtest/vvp_tests/check_constant_16-v2001.json new file mode 100644 index 0000000000..284559f673 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_16-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_16.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_16-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_17-v2001.json b/ivtest/vvp_tests/check_constant_17-v2001.json new file mode 100644 index 0000000000..6f2de4112c --- /dev/null +++ b/ivtest/vvp_tests/check_constant_17-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_17.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_17-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_18-v2001.json b/ivtest/vvp_tests/check_constant_18-v2001.json new file mode 100644 index 0000000000..c3593dee4b --- /dev/null +++ b/ivtest/vvp_tests/check_constant_18-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_18.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_18-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_19-v2001.json b/ivtest/vvp_tests/check_constant_19-v2001.json new file mode 100644 index 0000000000..d22c0ee961 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_19-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_19.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_19-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_2-v2001.json b/ivtest/vvp_tests/check_constant_2-v2001.json new file mode 100644 index 0000000000..e15685c92c --- /dev/null +++ b/ivtest/vvp_tests/check_constant_2-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_2.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_2-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_20-v2001.json b/ivtest/vvp_tests/check_constant_20-v2001.json new file mode 100644 index 0000000000..9bd09d292e --- /dev/null +++ b/ivtest/vvp_tests/check_constant_20-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_20.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_20-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_3-v2001.json b/ivtest/vvp_tests/check_constant_3-v2001.json new file mode 100644 index 0000000000..f3455791d6 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_3-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_3.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_3-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_3.json b/ivtest/vvp_tests/check_constant_3.json new file mode 100644 index 0000000000..c38cc95916 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_3.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "check_constant_3.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/check_constant_4-v2001.json b/ivtest/vvp_tests/check_constant_4-v2001.json new file mode 100644 index 0000000000..d788d0ff76 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_4-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_4.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_4-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_5-v2001.json b/ivtest/vvp_tests/check_constant_5-v2001.json new file mode 100644 index 0000000000..48e03607c1 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_5-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_5.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_5-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_6-v2001.json b/ivtest/vvp_tests/check_constant_6-v2001.json new file mode 100644 index 0000000000..9ba20b9ec1 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_6-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_6.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_6-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_7-v2001.json b/ivtest/vvp_tests/check_constant_7-v2001.json new file mode 100644 index 0000000000..08c67eb42b --- /dev/null +++ b/ivtest/vvp_tests/check_constant_7-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_7.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_7-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_8-v2001.json b/ivtest/vvp_tests/check_constant_8-v2001.json new file mode 100644 index 0000000000..f275722c74 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_8-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_8.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_8-v2001" +} diff --git a/ivtest/vvp_tests/check_constant_9-v2001.json b/ivtest/vvp_tests/check_constant_9-v2001.json new file mode 100644 index 0000000000..5c1beb61d5 --- /dev/null +++ b/ivtest/vvp_tests/check_constant_9-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "check_constant_9.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "check_constant_9-v2001" +} diff --git a/ivtest/vvp_tests/function4-v2001.json b/ivtest/vvp_tests/function4-v2001.json new file mode 100644 index 0000000000..fb94f03386 --- /dev/null +++ b/ivtest/vvp_tests/function4-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "function4.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "function4-v2001" +} diff --git a/ivtest/vvp_tests/function4.json b/ivtest/vvp_tests/function4.json new file mode 100644 index 0000000000..126299ad28 --- /dev/null +++ b/ivtest/vvp_tests/function4.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "function4.v", + "iverilog-args" : [ "-g2009" ] +} diff --git a/ivtest/vvp_tests/module_inout_port_type-v2001.json b/ivtest/vvp_tests/module_inout_port_type-v2001.json new file mode 100644 index 0000000000..e9b0f0479b --- /dev/null +++ b/ivtest/vvp_tests/module_inout_port_type-v2001.json @@ -0,0 +1,6 @@ +{ + "type" : "CE", + "source" : "module_inout_port_type.v", + "iverilog-args" : [ "-g2001" ], + "gold" : "module_inout_ort_type-v2001" +} diff --git a/ivtest/vvp_tests/module_inout_port_type.json b/ivtest/vvp_tests/module_inout_port_type.json new file mode 100644 index 0000000000..02fdb40a5a --- /dev/null +++ b/ivtest/vvp_tests/module_inout_port_type.json @@ -0,0 +1,5 @@ +{ + "type" : "normal", + "source" : "module_inout_port_type.v", + "iverilog-args" : [ "-g2009" ] +}