Skip to content

Commit

Permalink
Collect patches for v0.38
Browse files Browse the repository at this point in the history
  • Loading branch information
azolotko committed Jan 4, 2024
1 parent 0f1d316 commit e9d0e93
Show file tree
Hide file tree
Showing 5 changed files with 94 additions and 91 deletions.
49 changes: 37 additions & 12 deletions patches/buildroot.diff
Original file line number Diff line number Diff line change
Expand Up @@ -39,7 +39,7 @@ index a3b3f6f664..55601033c6 100644
-https://wiki.analog.com/university/tools/pluto
+https://github.com/plutoplus/plutoplus
diff --git a/configs/zynq_pluto_defconfig b/configs/zynq_pluto_defconfig
index c02547e256..0bbce02ee7 100644
index 6203766e04..884adfcd30 100644
--- a/configs/zynq_pluto_defconfig
+++ b/configs/zynq_pluto_defconfig
@@ -29,6 +29,7 @@ BR2_PACKAGE_MTD=y
Expand All @@ -50,7 +50,7 @@ index c02547e256..0bbce02ee7 100644
BR2_PACKAGE_LINUX_FIRMWARE=y
BR2_PACKAGE_LINUX_FIRMWARE_RALINK_RT61=y
BR2_PACKAGE_LINUX_FIRMWARE_RALINK_RT73=y
@@ -36,22 +37,37 @@ BR2_PACKAGE_LINUX_FIRMWARE_RALINK_RT2XX=y
@@ -36,24 +37,39 @@ BR2_PACKAGE_LINUX_FIRMWARE_RALINK_RT2XX=y
BR2_PACKAGE_LINUX_FIRMWARE_RTL_81XX=y
BR2_PACKAGE_LINUX_FIRMWARE_RTL_87XX=y
BR2_PACKAGE_LINUX_FIRMWARE_RTL_88XX=y
Expand All @@ -63,12 +63,14 @@ index c02547e256..0bbce02ee7 100644
+BR2_PACKAGE_PHP=y
+BR2_PACKAGE_PHP_EXT_SOCKETS=y
BR2_PACKAGE_LIBAD9361_IIO=y
BR2_PACKAGE_LIBGPIOD=y
BR2_PACKAGE_LIBGPIOD_TOOLS=y
BR2_PACKAGE_LIBIIO_IIOD_USBD=y
BR2_PACKAGE_LIBIIO_TESTS=y
+BR2_PACKAGE_LIBV4L=y
+BR2_PACKAGE_LIBV4L_UTILS=y
+BR2_PACKAGE_LIBV4L=n
+BR2_PACKAGE_LIBV4L_UTILS=n
BR2_PACKAGE_LIBINI=y
+BR2_PACKAGE_X264=y
+BR2_PACKAGE_X264=n
+BR2_PACKAGE_FFTW_SINGLE=y
+BR2_PACKAGE_FFTW_FAST=y
+BR2_PACKAGE_BC=y
Expand All @@ -85,24 +87,47 @@ index c02547e256..0bbce02ee7 100644
BR2_PACKAGE_WPA_SUPPLICANT=y
BR2_PACKAGE_WPA_SUPPLICANT_CLI=y
BR2_PACKAGE_WPA_SUPPLICANT_PASSPHRASE=y
+BR2_PACKAGE_OPKG=y
+BR2_PACKAGE_NANO=y
+BR2_PACKAGE_OPKG=n
+BR2_PACKAGE_NANO=n
BR2_PACKAGE_POLL_SYSFS=y
BR2_PACKAGE_AD936X_REF_CAL=y
BR2_TARGET_ROOTFS_CPIO=y
@@ -60,3 +76,15 @@ BR2_TARGET_ROOTFS_CPIO_UIMAGE=y
@@ -62,3 +78,15 @@ BR2_TARGET_ROOTFS_CPIO_UIMAGE=y
BR2_PACKAGE_HOST_DOSFSTOOLS=y
BR2_PACKAGE_HOST_GENIMAGE=y
BR2_PACKAGE_HOST_MTOOLS=y
+BR2_PACKAGE_FFMPEG_43=y
+BR2_PACKAGE_FFMPEG_43=n
+BR2_PACKAGE_ALSA_UTILS=y
+BR2_PACKAGE_ALSA_UTILS_APLAY=y
+BR2_PACKAGE_FFMPEG=y
+BR2_PACKAGE_FFMPEG_GPL=y
+BR2_PACKAGE_FFMPEG_SWSCALE=y
+BR2_PACKAGE_FFMPEG=n
+BR2_PACKAGE_FFMPEG_GPL=n
+BR2_PACKAGE_FFMPEG_SWSCALE=n
+BR2_PACKAGE_FFMPEG_ENCODERS="aac mpeg2video rawvideo libx264 dvbsub bmp png"
+BR2_PACKAGE_FFMPEG_DECODERS="aac aac_latm mpeg2video mp2 yuv4 rawvideo mjpeg h264 mp3 pcm_s16le hevc flv srt"
+BR2_PACKAGE_FFMPEG_MUXERS="adts flv mpegts rawvideo image2"
+BR2_PACKAGE_FFMPEG_DEMUXERS="aac h264 mpegts mpegvideo pcm_s16le rawvideo rtp hevc flv dvbsub"
+BR2_PACKAGE_FFMPEG_PARSERS="aac h264 mjpeg mpegaudio mpegvideo hevc"
+#BR2_PACKAGE_STRACE=y
diff --git a/package/ad936x_ref_cal/ad936x_ref_cal.hash b/package/ad936x_ref_cal/ad936x_ref_cal.hash
index 24eb7fb88b..ca5798774c 100644
--- a/package/ad936x_ref_cal/ad936x_ref_cal.hash
+++ b/package/ad936x_ref_cal/ad936x_ref_cal.hash
@@ -1,5 +1,5 @@
# Locally computed
-sha256 26aedd8021fa939ab2f53e55904d869207265242fef7ad86aa4673e219b7cbef ad936x_ref_cal-01747db5cd60ff64115a73ac1f3bb97911f5c58e-br1.tar.gz
+sha256 4814915de63d975807e918df82bb86021d0e78839e8cc4116a36476d0b33180c ad936x_ref_cal-01747db5cd60ff64115a73ac1f3bb97911f5c58e-br1.tar.gz

# License files (locally computed as well)
sha256 8177f97513213526df2cf6184d8ff986c675afb514d4e68a404010521b880643 LICENSE
diff --git a/package/libiio/libiio.hash b/package/libiio/libiio.hash
index f1c58efb5e..cb6378f77b 100644
--- a/package/libiio/libiio.hash
+++ b/package/libiio/libiio.hash
@@ -1,6 +1,5 @@
# Locally calculated
sha256 a2b5d848531ea64fd9f95327dfd5a588bd227d9577281ec375e822702c6a52d5 libiio-0.24.tar.gz
sha256 21972599a3c143ab1f98002ad2b3f28f4aff927fde5f677478311cd4e517730c libiio-0.25.tar.gz
-sha256 e791ad1cf35aef08fc6e2b6b0dcdd1cc21d36cf287d81fa14adb088c6c1d4c49 libiio-38483f31be391af66b35542f733e569febe13d3a-br1.tar.gz
+sha256 865fe496624bebc7c4266bf157fb4b5ec851b5f929d2571ec73846e4f317cf46 libiio-38483f31be391af66b35542f733e569febe13d3a-br1.tar.gz
sha256 102900208eef27b766380135906d431dba87edaa7ec6aa72e6ebd3dd67f3a97b COPYING.txt
-
52 changes: 36 additions & 16 deletions patches/hdl.diff
Original file line number Diff line number Diff line change
@@ -1,11 +1,12 @@
diff --git a/projects/pluto/system_bd.tcl b/projects/pluto/system_bd.tcl
index fa47a8406..778adaf60 100644
index 4787085d0..027c2cb51 100644
--- a/projects/pluto/system_bd.tcl
+++ b/projects/pluto/system_bd.tcl
@@ -47,6 +47,12 @@ ad_ip_parameter sys_ps7 CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ 100.0
@@ -50,6 +50,13 @@ ad_ip_parameter sys_ps7 CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ 100.0
ad_ip_parameter sys_ps7 CONFIG.PCW_FPGA1_PERIPHERAL_FREQMHZ 200.0
ad_ip_parameter sys_ps7 CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE 1
ad_ip_parameter sys_ps7 CONFIG.PCW_GPIO_EMIO_GPIO_IO 17
ad_ip_parameter sys_ps7 CONFIG.PCW_GPIO_EMIO_GPIO_IO 18
+
+ad_ip_parameter sys_ps7 CONFIG.PCW_EN_ENET0 1
+ad_ip_parameter sys_ps7 CONFIG.PCW_ENET0_PERIPHERAL_ENABLE 1
+ad_ip_parameter sys_ps7 CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27}
Expand All @@ -15,7 +16,7 @@ index fa47a8406..778adaf60 100644
ad_ip_parameter sys_ps7 CONFIG.PCW_SPI1_PERIPHERAL_ENABLE 0
ad_ip_parameter sys_ps7 CONFIG.PCW_I2C0_PERIPHERAL_ENABLE 0
ad_ip_parameter sys_ps7 CONFIG.PCW_UART1_PERIPHERAL_ENABLE 1
@@ -54,16 +60,28 @@ ad_ip_parameter sys_ps7 CONFIG.PCW_UART1_UART1_IO {MIO 12 .. 13}
@@ -57,16 +64,28 @@ ad_ip_parameter sys_ps7 CONFIG.PCW_UART1_UART1_IO {MIO 12 .. 13}
ad_ip_parameter sys_ps7 CONFIG.PCW_I2C1_PERIPHERAL_ENABLE 0
ad_ip_parameter sys_ps7 CONFIG.PCW_QSPI_PERIPHERAL_ENABLE 1
ad_ip_parameter sys_ps7 CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE 1
Expand Down Expand Up @@ -46,11 +47,16 @@ index fa47a8406..778adaf60 100644
ad_ip_parameter sys_ps7 CONFIG.PCW_IRQ_F2P_INTR 1
ad_ip_parameter sys_ps7 CONFIG.PCW_IRQ_F2P_MODE REVERSE
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_0_PULLUP {enabled}
@@ -382,4 +401,3 @@ ad_connect sys_cpu_resetn axi_ad9361_dac_dma/m_src_axi_aresetn
ad_cpu_interrupt ps-13 mb-13 axi_ad9361_adc_dma/irq
ad_cpu_interrupt ps-12 mb-12 axi_ad9361_dac_dma/irq
ad_cpu_interrupt ps-11 mb-11 axi_spi/ip2intc_irpt
-
diff --git a/projects/pluto/system_constr.xdc b/projects/pluto/system_constr.xdc
index 67a9558cf..9bf4e924f 100644
index 3877ade6f..bf0ae5d12 100644
--- a/projects/pluto/system_constr.xdc
+++ b/projects/pluto/system_constr.xdc
@@ -1,69 +1,69 @@
@@ -1,78 +1,69 @@
# constraints
# ad9361 (SWAP == 0x1)

Expand Down Expand Up @@ -104,17 +110,26 @@ index 67a9558cf..9bf4e924f 100644
-set_property -dict {PACKAGE_PIN K12 IOSTANDARD LVCMOS18} [get_ports enable]
-set_property -dict {PACKAGE_PIN K11 IOSTANDARD LVCMOS18} [get_ports txnrx]
-
-set_property -dict {PACKAGE_PIN M14 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports iic_scl]
-set_property -dict {PACKAGE_PIN N14 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports iic_sda]
-
-set_property -dict {PACKAGE_PIN E12 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports spi_csn]
-set_property -dict {PACKAGE_PIN E11 IOSTANDARD LVCMOS18} [get_ports spi_clk]
-set_property -dict {PACKAGE_PIN E13 IOSTANDARD LVCMOS18} [get_ports spi_mosi]
-set_property -dict {PACKAGE_PIN F12 IOSTANDARD LVCMOS18} [get_ports spi_miso]
-
-set_property -dict {PACKAGE_PIN R10 IOSTANDARD LVCMOS18} [get_ports pl_spi_clk_o]
-set_property -dict {PACKAGE_PIN M12 IOSTANDARD LVCMOS18} [get_ports pl_spi_miso]
-set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVCMOS18} [get_ports pl_spi_mosi]
-# PL GPIOs
-#
-# Pin | Package Pin | GPIO | Pluto | Phaser |
-# -----|-------------|----------|----------|---------|
-# L10P | K13 | PL_GPIO0 | SPI MOSI | TXDATA |
-# L12N | M12 | PL_GPIO1 | SPI MISO | BURST |
-# L24N | R10 | PL_GPIO2 | SPI CLKO | MUXOUT |
-# L7N | N14 | PL_GPIO3 | IIC SDA | IIC SDA |
-# L9N | M14 | PL_GPIO4 | IIC SCL | IIC SCL |
-
-set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVCMOS18} [get_ports pl_gpio0]
-set_property -dict {PACKAGE_PIN M12 IOSTANDARD LVCMOS18} [get_ports pl_gpio1]
-set_property -dict {PACKAGE_PIN R10 IOSTANDARD LVCMOS18} [get_ports pl_gpio2]
-set_property -dict {PACKAGE_PIN N14 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports pl_gpio3]
-set_property -dict {PACKAGE_PIN M14 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports pl_gpio4]
-
-set_property -dict {PACKAGE_PIN P8 IOSTANDARD LVCMOS18} [get_ports clk_out]
+set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS18 } [get_ports rx_clk_in]
Expand Down Expand Up @@ -183,7 +198,7 @@ index 67a9558cf..9bf4e924f 100644

create_clock -name rx_clk -period 16.27 [get_ports rx_clk_in]

@@ -80,123 +80,123 @@ set_input_jitter clk_fpga_1 0.15
@@ -89,123 +80,123 @@ set_input_jitter clk_fpga_1 0.15
set_property IOSTANDARD LVCMOS18 [get_ports *fixed_io_mio*]
set_property SLEW SLOW [get_ports *fixed_io_mio*]
set_property DRIVE 8 [get_ports *fixed_io_mio*]
Expand Down Expand Up @@ -385,11 +400,16 @@ index 67a9558cf..9bf4e924f 100644
set_property IOSTANDARD DIFF_SSTL15_T_DCI [get_ports *ddr_dqs*]
set_property SLEW FAST [get_ports *ddr_dqs*]
set_property PACKAGE_PIN C2 [get_ports ddr_dqs_p[0]]
@@ -215,4 +206,3 @@ set_property PACKAGE_PIN F2 [get_ports ddr_dqs_n[1]]

set_false_path -from [get_pins {i_system_wrapper/system_i/axi_ad9361/inst/i_rx/i_up_adc_common/up_adc_gpio_out_int_reg[0]/C}]
set_false_path -from [get_pins {i_system_wrapper/system_i/axi_ad9361/inst/i_tx/i_up_dac_common/up_dac_gpio_out_int_reg[0]/C}]
-
diff --git a/projects/pluto/system_project.tcl b/projects/pluto/system_project.tcl
index cdd0c17fc..61e975207 100644
index cdfaccf93..fb5a8f0b2 100644
--- a/projects/pluto/system_project.tcl
+++ b/projects/pluto/system_project.tcl
@@ -3,7 +3,7 @@ source ../../scripts/adi_env.tcl
@@ -2,7 +2,7 @@ source ../../scripts/adi_env.tcl
source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl
source $ad_hdl_dir/projects/scripts/adi_board.tcl

Expand All @@ -398,7 +418,7 @@ index cdd0c17fc..61e975207 100644

adi_project_files pluto [list \
"system_top.v" \
@@ -13,4 +13,3 @@ adi_project_files pluto [list \
@@ -12,4 +12,3 @@ adi_project_files pluto [list \
set_property is_enabled false [get_files *system_sys_ps7_0.xdc]
adi_project_run pluto
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl
Expand Down
79 changes: 19 additions & 60 deletions patches/linux.diff
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
diff --git a/arch/arm/boot/dts/zynq-coraz7s.dtsi b/arch/arm/boot/dts/zynq-coraz7s.dtsi
index b079648d2b07..7257bf30bfee 100644
index b079648d2b07..4fd4d82b50ab 100644
--- a/arch/arm/boot/dts/zynq-coraz7s.dtsi
+++ b/arch/arm/boot/dts/zynq-coraz7s.dtsi
@@ -28,6 +28,14 @@ chosen {
Expand All @@ -17,15 +17,15 @@ index b079648d2b07..7257bf30bfee 100644
fpga_axi: fpga-axi@0 {
compatible = "simple-bus";
#address-cells = <0x1>;
@@ -73,5 +81,6 @@ &uart0 {
@@ -73,5 +81,5 @@ &uart0 {
&usb0 {
status = "okay";
dr_mode = "host";
+ usb-phy = <&usb_phy0>;
};
-
diff --git a/arch/arm/boot/dts/zynq-m2k.dtsi b/arch/arm/boot/dts/zynq-m2k.dtsi
index b82bf5a25641..e92c093501b9 100644
index b82bf5a25641..6a80b110a07a 100644
--- a/arch/arm/boot/dts/zynq-m2k.dtsi
+++ b/arch/arm/boot/dts/zynq-m2k.dtsi
@@ -19,6 +19,15 @@ memory {
Expand All @@ -52,58 +52,17 @@ index b82bf5a25641..e92c093501b9 100644
};

&clkc {
@@ -94,5 +104,3 @@ channel@0 {
};
};
};
-
-
diff --git a/arch/arm/boot/dts/zynq-pluto-sdr-revc.dts b/arch/arm/boot/dts/zynq-pluto-sdr-revc.dts
index 9c9a0c9dbf9c..6971e9b645e5 100644
index fe5569225fd3..1483505ae12f 100644
--- a/arch/arm/boot/dts/zynq-pluto-sdr-revc.dts
+++ b/arch/arm/boot/dts/zynq-pluto-sdr-revc.dts
@@ -17,46 +17,6 @@
#include <dt-bindings/gpio/gpio.h>
#include <dt-bindings/interrupt-controller/irq.h>

-/* These GPIO hogs are configured by u-boot environment */
-&gpio0 {
- clock_extern_en {
- gpio-hog;
- gpios = <48 0>;
- output-high;
- };
-
- clock_internal_en {
- gpio-hog;
- gpios = <48 0>;
- output-low;
- };
-};
-
-&amba {
- axi_spi: spi@7C430000 {
- #address-cells = <1>;
- #size-cells = <0>;
- bits-per-word = <8>;
- compatible = "xlnx,xps-spi-2.00.a";
- fifo-size = <16>;
- interrupt-parent = <&intc>;
- interrupts = <0 55 IRQ_TYPE_LEVEL_HIGH>;
- cs-gpios = <&gpio0 49 0>;
- num-cs = <0x1>;
- reg = <0x7C430000 0x10000>;
- xlnx,num-ss-bits = <0x1>;
- xlnx,spi-mode = <0>;
-
- spidev0: spidev@0 {
- compatible = "adi,swspi";
- reg = <0>; /* CE0 */
- #address-cells = <1>;
- #size-cells = <0>;
- spi-max-frequency = <125000000>;
- };
- };
-};
-
&adc0_ad9364 {
/* This property is controlled by u-boot environment. */
adi,2rx-2tx-mode-enable;
@@ -70,6 +30,11 @@ &cf_ad9364_dac_core_0 {
@@ -98,6 +98,11 @@ &cf_ad9364_dac_core_0 {
/ {
model = "Analog Devices PlutoSDR Rev.C (Z7010/AD9363)";

Expand All @@ -115,7 +74,7 @@ index 9c9a0c9dbf9c..6971e9b645e5 100644
leds {
compatible = "gpio-leds";
led0 {
@@ -92,3 +57,18 @@ button {
@@ -140,3 +145,18 @@ channel@1 {
};
};
};
Expand Down Expand Up @@ -361,7 +320,7 @@ index 08c5a42204f3..0fe5bba8a082 100644
status = "okay";

diff --git a/arch/arm/boot/dts/zynq-zc702.dts b/arch/arm/boot/dts/zynq-zc702.dts
index c6b4593551c9..fb918a14fdc9 100644
index aa084a9938d9..b91785c93349 100644
--- a/arch/arm/boot/dts/zynq-zc702.dts
+++ b/arch/arm/boot/dts/zynq-zc702.dts
@@ -57,6 +57,14 @@ led-ds23 {
Expand All @@ -378,12 +337,12 @@ index c6b4593551c9..fb918a14fdc9 100644
+ };
};

&amba {
@@ -454,6 +462,7 @@ &uart1 {
&can0 {
@@ -441,6 +449,7 @@ &uart1 {
&usb0 {
status = "okay";
dr_mode = "host";
+ usb-phy = <&usb_phy0>;
+ usb-phy = <&usb_phy0>;
pinctrl-names = "default";
pinctrl-0 = <&pinctrl_usb0_default>;
};
Expand Down Expand Up @@ -440,10 +399,10 @@ index 60f73cd2601b..2d531a6ea2ca 100644
+ usb-phy = <&usb_phy0>;
};
diff --git a/arch/arm/configs/zynq_pluto_defconfig b/arch/arm/configs/zynq_pluto_defconfig
index 1efe8ab24437..89365b48bb1f 100644
index 506eec34f4b4..818b84bddc05 100644
--- a/arch/arm/configs/zynq_pluto_defconfig
+++ b/arch/arm/configs/zynq_pluto_defconfig
@@ -268,3 +268,15 @@ CONFIG_RCU_CPU_STALL_TIMEOUT=60
@@ -269,3 +269,15 @@ CONFIG_RCU_CPU_STALL_TIMEOUT=60
CONFIG_DEBUG_LL=y
CONFIG_DEBUG_ZYNQ_UART1=y
CONFIG_EARLY_PRINTK=y
Expand Down
3 changes: 1 addition & 2 deletions patches/u-boot-xlnx.diff
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
diff --git a/arch/arm/dts/zynq-pluto-sdr.dts b/arch/arm/dts/zynq-pluto-sdr.dts
index c618d98b54..c318383554 100644
index c618d98b54..40a8cef054 100644
--- a/arch/arm/dts/zynq-pluto-sdr.dts
+++ b/arch/arm/dts/zynq-pluto-sdr.dts
@@ -16,6 +16,7 @@
Expand All @@ -19,7 +19,6 @@ index c618d98b54..c318383554 100644
+ u-boot,dm-pre-reloc;
+ status = "okay";
+};
\ No newline at end of file
diff --git a/configs/zynq_pluto_defconfig b/configs/zynq_pluto_defconfig
index 0daf46221e..5991fa6cf7 100644
--- a/configs/zynq_pluto_defconfig
Expand Down
2 changes: 1 addition & 1 deletion plutosdr-fw

0 comments on commit e9d0e93

Please sign in to comment.